Semiconductor Portal

HOME » ブログ » インサイダーズ » 長見晃の海外トピックス

2024年以降に向けて先端技術の醸成、ビジネス対応および市場展望関連の抽出

本年も残すところ1週間、来年2024年およびそれ以降に向けて、影響を与えそうな先端技術、プラスマイナスいろいろ波乱含みのビジネス対応、そして本格回復への切り返しを期待する市場展望、とそれぞれのここにきての現下の動きを取り出してみる。12月前半恒例のIEDM(IEEE International Electron Devices Meeting)も69回を迎え、将来を見据えた取り組みに注目した後は、ぐっと近い時間軸の最先端微細化の現時点である。ビジネスの前提としてのsustainability(持続可能性)の重みが欧州はじめ、そしてIEDMでも取り上げられている一方、米国の対中国輸出規制が先端技術にとどまらず成熟プロセス品に拡げる検討が行われている。そして、市場の底打ちおよびAI(人工知能)関連増大から、本格回復の見方が一層強まるこの年末時点である。

長見晃の海外トピックス

≪入り混じるまだら模様≫

先端技術の醸成について、まずは、今年のIEDMから最先端のデバイス技術の取り組み関連を、目についた範囲、取り出している。

◇Intel says latest breakthroughs in chip design promise more of Moore’s Law (12月9日付け FierceElectronics)
→AIやその他の先端技術によって演算処理の高速化のニーズが拡大するにつれ、半導体設計者は、より多くのコンポーネントをプロセッサー内に詰め込む方法を模索しており、1つにはサーバーやノートパソコン内の回路基板が筐体からはみ出さないようにするため。現在進行中の研究の一例として、インテルの研究者は土曜9日の技術イベント、第69回IEDM(IEEE International Electron Devices Meeting)(12月9−13日:San Francisco)で、バックサイドパワーとダイレクトバックサイドコンタクトを組み合わせた3D積層CMOSトランジスタを披露した旨。

◇Intel, Samsung, and TSMC Demo 3D-Stacked Transistors The Big Three can now all make CFETs―next stop on the Moore’s Law roadmap (12月16日付け IEEE Spectrum)
→先進半導体メーカー3社すべてがcomplementary field-effect transistors(CFETS:相補型電界効果トランジスタ)を実証したことで、トランジスタ密度が約2倍になる将来のプロセッサーのビジョンが具体化し始めている旨。
CFETsは、CMOSロジックに必要な両方の種類のトランジスタを積み重ねた単一の構造である旨。今週サンフランシスコで開催されたIEEE International Electron Devices Meeting(9-13日)で、インテル、サムスン、およびTSMCの3社は、トランジスタの次の進化に向けてどのような進歩を遂げたかを示した旨。

◇Imec presents ‘breakthrough’ results in development of SOT-MRAM technology―Imec spotlights SOT-MRAM tech upgrade (12月18日付け New Electronics (UK))
→1)ベルギーのルーヴェン(Leuven, Belgium)に本拠を置く研究・技術革新の拠点であるImecは、2023年国際電子デバイス会議(IEEE IEDM 2023)を利用し、極めて微細化されたspin-orbit transfer magnetic random-access memory(SOT-MRAM:スピン軌道移動磁気ランダムアクセスメモリー)を発表した旨。
 2)アイメックは、スピン軌道移動磁気ランダムアクセスメモリ性能のブレークスルーと見なされるものを提供し、高性能コンピューティングにおける最終レベルキャッシュメモリに一歩近づく可能性がある旨。極度にスケーリングされたSOT-MRAMは、1ビットあたりのスイッチング・エネルギーが100フェムト・ジュール未満に達し、耐久性は10の15乗以上となった旨。

◇IBM Demos Transistor With Liquid Nitrogen Cooling ―The FinFET successor performs better while withstanding cryogenic temps (12月21日付け IEEE Spectrum)
→液体窒素はわずか77ケルビン(-196°C)で沸騰する旨。電子機器をこの極低温に冷却することで性能を向上させることができるが、現在のトランジスタは極低温を念頭に置いて設計されていない旨。12月初めにサンフランシスコで開催された2023年IEEE国際電子デバイス会議(IEDM)で、IBMの研究者たちは、液体窒素冷却に最適化された初の先進CMOSトランジスタのデモを行った旨。

比較的間近に控える最先端微細化について、しのぎを削るTSMC、インテルおよびSamsungの現下の状況あるいは見方の一端である。

◇TSMC on track for 2-nanometer fab―SPRING: The contract chipmaker is set to move in equipment for the production of 2-nanometer chips in April, the Hsinchu Science Park’s director-general said (12月16日付け Taipei Times)
→台湾積電股份有限公司(TSMC、台積電)は4月に同社初の2ナノメートルファブの製造装置第1号機を搬入する見通し、 世界最大の受託半導体メーカーが2025年に2ナノ半導体の生産を開始する道を開く、と新竹科學園區のWayne Wang(王永壯)局長が昨日述べた旨。

◇IN 2024, INTEL HOPES TO LEAPFROG ITS CHIPMAKING COMPETITORS―The chipmaker is betting on new transistors and power-delivery tech (12月18日付け IEEE Spectrum)
→過去5年間、インテルは先端半導体製造において台湾セミコンダクター・マニュファクチャリング社(TSMC)やサムスンに遅れをとってきた旨。そして今、リードを取り戻すべく、同社は大胆かつリスキーな行動に出ており、2024年後半に発売予定のデスクトップおよびノートPC向けArrow Lakeプロセッサーに2つの新技術を導入する旨。インテルは、新しいトランジスタ技術と、この種のものとしては初めてとなる電力供給システムによって、競合他社をリードしたいと考えている旨。
インテルのナノシート・トランジスタであるRibbonFETは、現在のFinFET技術に取って代わるであろう旨。
一般にバックサイド・パワーと呼ばれ、インテルがPowerViaと呼ぶ新しい電力供給方式の導入は、より劇的な変化である旨。

◇Samsung Seen Stumbling at Silicon’s Leading Edge―Potential Samsung customers find the foundry’s leap to 3 nm to be too risky. (12月20日付け EE Times)
→Samsungは昨年、3nmノードで最初に生産を開始し、半導体技術のリーダーシップを主張したが、顧客を見つけるのに苦労しており、一部の顧客は、該ファウンドリの飛躍はリスクが高すぎるとEE Timesに語った旨。
AI半導体チップメーカーのAlphawave、RebellionsおよびTenstorrentがEE Timesに語ったところによると、サムスンを4nmファウンドリーとして選んだのは、サムスンが値下げとサービス追加を行い、トップライバルの台湾積体電路製造(TSMC)から顧客を獲得しようとしているからである旨。

◇ASML ships first high-NA EUV consignment to Intel―ASML's high-NA EUV machine parts arrive at Intel's development lab (12月22日付け Electronics Weekly (UK))
→ASML初の量産用高NA EUV装置の最初の主要部品が、インテルのオレゴン開発工場に納入された旨。インテルは、来年末までに6台のマシンを受領する予定である旨。

JEDEC Solid State Technology Association(JEDEC)では、メモリの外形仕様の入れ替わりが行われようとしている。

◇SO-DIMM laptop memory sticks to disappear as CAMM poised to take over ― new RAM format comes to market, but may be a mere stopgap before tech industry moves to on-chip system memory a la Apple―JEDEC adopts CAMM2 RAM format ―Your next laptop is about to get a massive speed boost (12月17日付け TechRadar)
→1)JEDEC Solid State Technology Association(JEDEC)は、現在の業界標準よりもはるかに薄い新しいRAMフォームファクターを正式に採用、次のノートパソコンに待望のスピードアップをもたらすかもしれない旨。
 2)JEDECは、現在の業界標準よりも薄いCAMM2として知られる新しいRAMフォームファクター標準を批准した旨。SO-DIMMラップトップ・メモリー・スティックはCAMM2ユニットに取って代わられると予想され、次はオンチップ・メモリーになるかもしれない旨。

次に、ビジネス対応について、まずはsustainabilityがキーワードとなる以下の内容である。特に欧州におけるビジネスの前提としての見方、考え方である。

◇European leaders talk cleaner chips, geopolitics at SIEPR―European delegation talks chip sustainability, cooperation ―The prime minister of the Netherlands and other European officials met with Stanford students and discussed sustainability challenges of the semiconductor industry. (12月12日付け Stanford University/Institute for Economic Policy Research)
→Stanford Institute for Economic Policy Research(スタンフォード経済政策研究所)は、欧州のリーダーを招き、半導体産業における持続可能性と、地政学によって世界的な協力がいかに妨げられているかについて議論した旨。「我々は(今)行動しなければならない。最初にしなければならないことは、意識を高めることだ」と、ベルギー・フランダース地方のJan Jambon(ヤン・ジャンボン)大臣。

◇Timeline 2024: 28 sustainability policies, guidelines and targets to track―Coming in '24: SEC likely to require green disclosures ―The business of sustainability continues to evolve rapidly. Here are the most important changes to expect in the coming year. (12月19日付け GreenBiz)
→証券取引委員会(SEC)が義務づける気候関連の情報開示は、おそらく2024年最大のサステナビリティ・ストーリーになるだろう、とGreenBiz Groupのspecial projects editor and former managing editor、Elsa Wenzel(エルザ・ヴェンツェル)氏は書き、その他23の記事も挙げている旨。その中には 欧州連合(EU)の企業持続可能性報告指令が1月1日に発効すること、米国の農業法案の進捗に注目が集まり、サプライチェーンが混乱する可能性があること、および連邦取引委員会(FTC)が "グリーンウォッシング "の定義を更新する可能性があること、などである旨。

◇Shaping A Sustainable $1 Trillion Era―Chip industry nears $1T, urged to embrace sustainability ―Innovation in Europe’s semiconductor industry shows growth can remain consistent with a net zero roadmap. (12月19日付け Semiconductor Engineering)
→世界の半導体産業は、10年後までに売上高$1 trillion(1兆ドル)に達すると予想されており、ネット・ゼロの提唱者たちは、企業のリーダーたちに持続可能な実践に取り組むよう求めている旨。EdwardsのPresident Semiconductor Division、Keon Lauwers(キオン・ラウワーズ)氏は、最近のSEMICON Europa 2023でのCEO SummitでScience-Based Targetイニシアチブを強調した旨。

上記のIEDMにおいても、sustainabilityが取り上げられている。

◇Semiconductor Sustainability at IEDM (12月20日付け 3D InCites)
→半導体業界はここ3〜4年、持続可能性への取り組みを強化している旨。STマイクロエレクトロニクスとインテルは2011年以来、持続可能性に向けた強力な取り組みを実施しており、サプライチェーンの持続可能性に焦点を当てることで、サプライヤーにまで波及している旨。
業界屈指の技術会議であるIEDMが持続可能性をテーマにしたセッションを設けた時点で、業界が総力を挙げていることは確か。サンフランシスコで開催された2023年のIEDM会議では、セッション28が持続可能性に捧げられた旨。これはフォーカス・セッションとして予定されたもので、委員会は、半導体産業における持続可能性について意見を述べるために発表者を招待するほど、このテーマに十分な関心があると考えたということ。

2023年は半導体にとってどんな年だったか、"衝撃的に良い年"との表わし方である。

◇2023: A Good Year For Semiconductors―2023 was "shockingly good for semiconductors" ―The swing from recession to growth was quick, and new sectors are driving development. It could be the start of a big change in the industry. (12月21日付け Semiconductor Engineering)
→今年は、景気後退と不況のサイクルの予測から始まり、AIおよびデータセンター需要およびパッケージングアップグレードが到来し、2023年は「半導体にとって衝撃的な好転」とAnsysのdirector、Rich Goldmanは述べている旨。携帯電話、ポスト量子暗号および自動車分野はすべて前進したが、バーチャルリアリティ(VR)および暗号マイニング分野は小康状態に直面した旨。

一方、米国政府では対中国半導体輸出規制について、先端技術品だけではなく、成熟プロセスにも拡げる検討、そして中国から輸入する半導体の関税引き上げ、とさらに締めつけを図る動きがここにきて見られている。

◇China import concerns spur US to launch semiconductor supply chain review (12月21日付け Reuters)
→米国、中国懸念で半導体サプライチェーン調査へ

◇米政府、旧式半導体の中国調達を調査;安保脅威に対処 (12月22日付け 日経 電子版 02:53)
→米商務省は21日、旧世代の半導体を巡り中国からの調達を調査すると発表、世界市場で中国製品が席巻しており、安全保障上の脅威を特定する旨。これまで先端品に限定してきた対中規制が、旧世代に広がる可能性がでてきた旨。
「レガシーチップ」と呼ばれる旧世代の半導体は、一般的に28ナノメートル以上のプロセスで製造された製品をさす旨。

◇US to gather chip supply chain intelligence to boost national security (12月22日付け South China Morning Post)
→米国政府は、重要な情報収集により半導体サプライチェーンの安全確保に向けた取り組みを強化している旨。

◇中国半導体に関税引き上げ論;米政府「同盟国と協力」 (12月23日付け 日経 電子版 05:50)
→米政府は中国から輸入する半導体の関税引き上げを検討する旨。先端品以外の一般的な半導体は中国製品が安さを売りに世界市場を席巻しており、貿易制限を講じて自国企業の中国依存を抑える狙い。同盟国に協力を要請する旨。

最後に、市場展望についてであるが、2024年は回復に向かうとする見方が、以下の通り加わってきている。

◇China’s smartphone market on track to recover in 2024 as consumers eye upgrades, says IDC report (12月16日付け South China Morning Post)
→*消費者の機種アップグレード志向はますます高まり、国内ブランド間の熾烈な競争は来年、ベンダーをより競争力のある製品の投入へと駆り立てるだろう。
 *ファーウェイがMate 60 Proでハイエンド5Gセグメントにカムバックし、9月にiPhone 15が発売されて以来、競争は激化している旨。

◇Taiwan's IC design landscape: weathering 21% revenue storm in 2023, eyeing 14% surge in 2024, according to DIGITIMES Research (12月18日付け DIGITIMES)
→2023年、台湾のIC設計業界は売上高が21%減少すると予測され、厳しい局面を迎えている旨。世界経済の低迷に加え、地政学的緊張、戦争、金利上昇、およびインフレがスマートフォンやNB/PC半導体分野の企業に打撃を与えている旨。しかし、2024年の見通しは有望で、世界のスマートフォンとNB/PCの出荷台数は14%急増し、業界の売上高は$36 billionの大台に戻る見込みである旨。

◇Gartner Forecasts Worldwide Semiconductor Revenue to Grow 17% in 2024 (12月19日付け SEMICONDUCTOR DIGEST)
→ガートナー社の最新予測によると、2024年の世界半導体売上高は16.8%増の$624 billionに達すると予測されている旨。2023年は10.9%減少し、$534 billionに達するとの予測。
「2023年も終わりに近づいているが、グラフィックス・プロセッシング・ユニット(GPUs)のような人工知能(AI)ワークロードをサポートする半導体への強い需要は、2023年の2桁減から半導体業界を救うには十分ではなさそう。」と、Gartner社のアナリスト副社長、Alan Priestley氏。

◇Korea should be 'rule setter': KCCI chief―SK Group exec sees end of chip slump, sluggish recovery (12月19日付け The Korea Herald (Seoul))
→SKグループのChey Tae-won会長は、世界の半導体産業は底を打った可能性が高く、半導体価格の回復が近づいていると述べた旨。Korea Chamber of Commerce and Industry(KCCI:大韓商工会議所)の会頭でもあるChey氏は、韓国が外国投資のインセンティブ・プログラムを通じて拡大する方法を見つけるよう呼びかけた旨。

◇Micron revenue forecasts strong recovery, shares jump―Micron shares ticked up almost 5% on strong quarterly forecast (12月20日付け Reuters)
→マイクロン・テクノロジーは水曜20日、市場予想を上回る四半期収益を予想し、同社株は、ここ数年で最も大幅な不況の後、2024年にメモリー半導体回復の兆しがあるとして、延長取引で5%近く急騰した旨。

◇The Semiconductor Market Will Recover in 2024 With an Annual Growth Rate of 20%, Says IDC―IDC Announces Eight Key Trends for the Global Semiconductor Market in 2024 (12月21日付け IDC)
→IDCの最新調査によると、人工知能(AI)およびハイパフォーマンス・コンピューティング(HPC)に対する世界的な需要が爆発的に増加しており、スマートフォン、パソコン、インフラストラクチャーに対する需要が安定していることに加え、自動車産業が堅調に成長していることから、半導体産業は新たな成長の波を迎えると見込まれる旨。半導体製品は、ロジック集積回路(IC)、アナログIC、マイクロプロセッサーおよびマイクロコントローラーIC、およびメモリに及ぶ旨。
IDCによる2024年グローバル半導体市場の重要な流れ8項目:
 #1:半導体販売市場は2024年に年間成長率20%で回復する
 #2:ADAS(先進運転支援システム)とインフォテインメントが車載半導体市場の発展を牽引する
 #3:データセンターからパーソナル機器に広がる半導体AIアプリケーション
 #4:IC設計在庫の枯渇が徐々に終息、アジア太平洋市場は2024年までに14%成長する見込み
 #5:ファウンドリ業界における先端プロセス需要が急増
 #6:中国の生産能力増強と成熟プロセスの価格競争激化
 #7:2.5/3Dパッケージング市場のCAGRは2023年から2028年にかけて22%の見込み
 #8:CoWoSのサプライチェーン容量が2倍に拡大、AIチップ供給を後押し

◇Global PC Shipments Forecast to Recover in 2024 After Unprecedented Slump in PC Demand, According to IDC (12月21日付け IDC)
→IDCは、2023年の世界PC出荷台数が、前年比16.6%減の2022年と比較して13.8%減と見込む旨。2年連続の前年比2桁減は、PC市場において前例のない傾向だが、その後は回復に向かうと見る旨。IDCは、短期的な課題にもかかわらず、今後2年以内に多くの要因が収束することで、2024年以降の市場回復を引き続き予測している旨。

◇IDC Forecasts Spending on GenAI Solutions Will Double in 2024 and Grow to $151.1 Billion in 2027 (12月21日付け IDC)
→インターナショナル・データ・コーポレーション(IDC)の最新予測によると、企業は2023年にGenAIソリューションに全世界で$19.4 billion以上を投資する旨。GenAIソフトウェアだけでなく、関連するインフラハードウェアやIT/ビジネスサービスを含むこの支出は、2024年には2倍以上になり、2027年には$151.1 billionに達すると予想され、2023年から2027年の予測期間中の複合年間成長率(CAGR)は86.1%である旨。

肝心の実績データとしては、台湾の電子機器輸出受注額が、この11月に前年比プラスとなり、1年ぶりの反転である。

◇Taiwan's electronics export orders rebounded in November, ending 12 consecutive months of annualized decline (12月21日付け DIDITIMES)
→台湾の11月の電子製品輸出受注額は、IC設計会社や半導体チャネル販売会社の受注増に牽引され、前年同月比3.5%増の$17.8 billionに達した旨。経済部(MOEA)統計局によると、10月と比べ5.4%減少したものの、12ヵ月連続前年同月比マイナス成長からプラスに転じた旨。

蓋を開けてみなければわからないものの、来る新年、2024年のできるだけ早い市場本格回復に期待するところである。


コロナ「5類」移行とはいえ、インフルエンザが加わり一層用心怠りなくの現状と言えるかと思うが、コロナ前に戻る舵取りがそれぞれに行われている中での世界の概況について、以下日々の政治経済の動きの記事からの抽出であり、発信日で示している。

□12月17日(日)

東南アジアにおける我が国のプレゼンスの変貌を改めて感じる以下の内容である。

◇自立のASEAN、日本に変革迫る;EV・供給網は中国先行 (日経 電子版 19:17)
→日本と東南アジア諸国連合(ASEAN)の特別首脳会議は17日、共同ビジョン声明を採択した旨。脱炭素や経済のデジタル化でASEANが日本に変革を迫る内容が中心となった旨。東南アジアでの日本の存在感は中国の台頭で薄れつつあり、成長市場を取り込むには新たな関係の構築が急務となる旨。

□12月19日(火)

連日の過去最高更新を受け、利益確定売り、半導体関連株の買い、など上げ下げが見られた今週の米国株式市場であるが、週間では8週連続で上昇し、4年10カ月ぶりの連騰記録となっている。

◇NYダウ、一進一退で推移;景気敏感株に利益確定売り (日経 電子版 05:22)
→18日の米株式市場でダウ工業株30種平均は一進一退となっている旨。午後3時(日本時間19日午前5時)現在は前週末比28ドル09セント高の3万7333ドル25セントと前週末に付けた過去最高値(3万7305ドル)を小幅に上回って推移している旨。前週末にかけて3日続けて過去最高値を更新しており、高値を牽引してきた景気敏感株には利益確定売りが出ている旨。

□12月20日(水)

◇NYダウ続伸、251ドル高;キャタピラーやインテル上昇 (日経 電子版 07:20)
→19日の米株式市場でダウ工業株30種平均は9日続伸、前日比251ドル90セント(0.67%)高の3万7557ドル92セントと、連日で過去最高値を更新した旨。市場の早期利下げ観測を牽制する米連邦準備理事会(FRB)高官の発言が続いているが、影響は今のところ限定的。キャタピラーなど景気敏感株の上昇が目立つ旨。

□12月21日(木)

◇NYダウ反落、250ドル安で推移;利益確定の売りか (日経 電子版 05:30)
→20日の米株式市場でダウ工業株30種平均は10営業日ぶりに反落し、午後3時(日本時間21日午前5時)現在は前日比242ドル31セント安の3万7315ドル61セントで推移している旨。ダウ平均は連日で過去最高値を更新しており、主力株の利益確定や持ち高を調整する動きが出ている旨。午後に売りが膨らみ、下げ幅は300ドルを超える場面がある旨。

□12月22日(金)

◇NYダウ反発、322ドル高;半導体関連株に買い (日経 電子版 07:05)
→21日の米株式市場でダウ工業株30種平均は反発し、前日比322ドル35セント(0.86%)高の3万7404ドル35セントで終えた旨。米連邦準備理事会(FRB)が2024年に利下げに転じ、米景気を支えるとの期待が根強い旨。一部銘柄の好決算を受けて半導体関連株が上昇したのも投資家心理の好転につながった旨。

米国政府の対中国半導体輸出規制のさらなる強化を上に示したが、電気自動車(EV)についても関税引き上げが検討され、年明けの米国は、大統領選挙も控え、動きに一層の注目を要する感じ方である。

◇米政府、中国製EVに関税上げ検討;米紙報道 (日経 電子版 07:51)
→米紙ウォール・ストリート・ジャーナルは21日、米政府が電気自動車(EV)を含む一部の中国製品への輸入関税を引き上げる検討を始めたと報じた旨。太陽光発電の関連製品やEV用の蓄電池なども候補にあがっている旨。

□12月23日(土)

◇NYダウ、小反落し18ドル安;4年10カ月ぶり8週連騰 (日経 電子版 07:13)
→22日の米株式市場でダウ工業株30種平均は小反落し、前日比18ドル38セント(0.04%)安の3万7385ドル97セントで終えた旨。前日夕に決算を発表したスポーツ用品のナイキが急落し、ダウ平均の重荷となった旨。半面、朝発表の11月の米個人消費支出(PCE)物価指数がインフレ鈍化を改めて示し、2024年の早期利下げ観測につながり、相場を支えた旨。
ダウ平均は週間では80ドル高となり、8週連続で上昇した旨。2019年2月にかけての9週連続以来、4年10カ月ぶりの連騰記録となる旨。


≪市場実態PickUp≫

【インテル関連】

インテルのAI半導体の取り組みについて、前回本欄で示しているが、その余波が続いている。AI PCを引っ張れるか、今後に注目である。

◇Intel AI-centric chips pave the way for AI everywhere era across devices (12月15日付け DIGITIMES)
→"AI Everywhere"イベントでインテルは、コードネーム、Emerald Rapidsと呼ばれる第5世代Xeonプロセッサーと、AI時代に対抗するIntel Core Ultra・モバイル・プロセッサーを発表した旨。インテルはまた、AIアクセラレーター、Gaudi3も展示した旨。

◇Intel unveils new chips amid rush to AI (12月16日付け Taipei Times)
→パソコン用プロセッサーの最大手、インテル社が、パソコンやデータセンター向けの新しい半導体を発表、同社は、人工知能(AI)ハードウェアの活況を呈する市場で、より大きなスライスを得ることを期待している旨。

◇The AI PC race is on. Is Intel winning with Core Ultra? (12月18日付け FierceElectronics)
→インテルは12月14日、インテルの3Dパフォーマンス・ハイブリッド・アーキテクチャを搭載し、インテル 4プロセス(7-nm)で構築されたCore Ultraモバイル・プロセッサー・ファミリーを発表、パフォーマンス、電力効率およびAIアクセラレーションを兼ね備えたプロセッサーが特徴。しかし、AI PCの分野で勝てるのだろうか?

インドでの先端開発ラボが、次の通り発表されている。

◇Intel establishes R&D lab at CtrlS Datacenters in Bengaluru, India―Intel sets up lab in India to focus on microprocessor architectures ―The new lab will focus on developing new microprocessor architectures. (12月21日付け Verdict (UK))
→インテルは、次世代プロセッサーの研究開発(R&D)ラボをCtrlS Datacentersのベンガルール施設内に設立した旨。
この新しい施設は、インテルのグローバルな先進データセンター開発ラボの延長線上にある旨。


【Samsung関連】

オランダ・ASMLとの共同開発が、引き続きあらわされている。

◇Samsung, ASML forge advanced chip manufacturing partnership (12月16日付け The Korea Times)
→サムスン電子はASMLから最新鋭の極端紫外線(EUV)露光装置を取得し、半導体技術の共同開発に乗り出す旨。多くの評論家は、サムスンが半導体製造効率を劇的に高める道を開くと主張している旨。

AIが引っ張るフラッシュメモリ需要への期待である。

◇Samsung, SK hynix Accelerate Recovery with On-device AI-equipped Smartphone Market―NAND flash surge could help Samsung, SK Hynix recovery (12月18日付け BusinessKorea)
→NANDフラッシュメモリーの需要が高まっており、オンデバイスAI機能の立ち上げで急増が予想される旨。サムスン電子とSKハイニックスがその恩恵を受けそう。

新しいセンサー製品の取り組みが披露されている。

◇Samsung unveils ISOCELL Vizion sensors for robotics and XR applications―Samsung debuts ISOCELL Vizion sensors for machine vision in XR, robotics (12月20日付け New Electronics (UK))
→サムスン電子は、2つの新しいISOCELL Vizionセンサー、飛行時間(ToF)センサーのISOCELL Vizion 63DおよびグローバルシャッターセンサーのISOCELL Vizion 931を発表した旨。

電力効率で格段にNvidiaに勝るAI半導体の取り組みである。

◇Samsung, Naver Reveal AI Semiconductor 8x More Power Efficient than Nvidia Chips―Samsung unveils AI chip with Naver, touts power efficiency (12月20日付け BusinessKorea)
→サムスン電子とネイバーは、Nvidiaの半導体の電力効率に対抗するというAI半導体を開発、このフィールド・プログラマブル・ゲート・アレイ(FPGA)半導体は、より高い電力効率を実現するため、低消費電力のコンパクトなダブルデータレート(DDR)DRAMを集積している旨。

超薄の次世代半導体実装基板の生産が、以下の通り予定されている。

◇Samsung to produce ultra thin next-generation chip package substrates―60% of Samsung’s semiconductor packaging substrates are made in its Sejong Plant (12月20日付け The Chosun)
→サムスンは来年、次世代半導体パッケージ基板の生産を開始する旨。この新工場は、同社にとって同地における5番目の工場であり、高性能半導体に必要な技術である2.5Dパッケージングに対応した半導体パッケージ基板を製造する旨。新工場は2024年5月の完成を予定している旨。

横浜市での半導体開発拠点について、経済産業省が資金補助を発表している。

◇サムスンの半導体研究拠点に200億円補助;経産省 (12月21日付け 日経 電子版 12:13)
→経済産業省は21日、韓国サムスン電子の半導体研究拠点に最大200億円を補助すると発表、サムスンが横浜市に新設する施設が対象。国内の半導体素材メーカーなどと連携して次世代半導体の研究開発を進める旨。経産省は支援を通じ、日本の半導体産業の競争力向上につなげる旨。

この開発拠点の背景の1つとして、SK HynixのHBM3メモリ急伸による猛追が挙げられている。

◇サムスン出遅れ、SKの猛追に危機感;横浜に半導体開発拠点、日本企業と連携へ (12月22日付け 日経)
→韓国サムスン電子は21日、半導体技術の研究開発拠点を横浜市に開設すると正式発表、先端半導体の製造技術を日本の企業や大学、研究機関と共同開発する旨。サムスンは先端品競争での出遅れに危機感を募らせており、研究開発のテコ入れを急ぐ旨。


【TSMC関連】

TSMCのchairmanの来年6月での交替が、以下の通り発表されている。

◇TSMC Chairman Mark Liu to retire in 2024, CEO recommended as successor (12月19日付け CNBC)
→*TSMCのMark Liu(マーク・リウ)会長は2024年に退任する予定であると、同社は火曜19日に発表した旨。
 *後任にはC.C. Wei(C.C.ウェイ)副会長兼CEOが推薦されている旨。
 *TSMCは、最新のiPhones、iPadsおよびMacsに搭載されている半導体を含む、世界最先端のプロセッサのトップ生産メーカーである旨。

◇TSMC to promote from within after chairman retires next year―TSMC lays out succession plan ahead of Chairman Liu's retirement in 2024 (12月19日付け Reuters)
→世界最大の契約半導体メーカーであるTSMCは火曜19日、取締役会が、来年会長を退くMark Liu(マーク・リュー)氏の後任として、現最高経営責任者(CEO)兼副会長、C.C. Wei(C.C.ウェイ)氏を推薦した、と発表した旨。

◇TSMC’s Liu to step down; Wei to be next chairman (12月20日付け Taipei Times)
→台湾積電股份有限公司(TSMC、台積電)のMark Liu(劉音)会長は来年6月に退任し、同社の取締役会はC.C. Wei(魏哲家)最高経営責任者(CEO)を後任に指名したと、世界最大の契約半導体メーカーが昨日発表した旨。


【Apple関連】

血中酸素濃度測定技術を巡る特許係争から、Apple Watchの一部の販売が一時停止されている。

◇Apple makes surprise decision to pause some Watch sales before Christmas over patent dispute (12月18日付け CNBC)
→*アップルは木曜21日から、最新のアップルウォッチ2機種の販売を一時停止する旨。
 *この決定は、AppleとMasimoの間で血液酸素機能をめぐる知的所有権の不一致に起因している旨。
 *Apple Watch Series 9とApple Watch Ultra 2のオンライン販売は木曜日午後3時に一時停止され、店舗での販売は日曜24日以降となる旨。

◇Apple Watch新機種、米国の販売一時停止;特許紛争で (12月19日付け 日経 電子版 06:27)
→米アップルは18日、腕時計型端末「Apple Watch」の新型2機種の米国での販売を近く一時停止すると明らかにした旨。血中の酸素濃度を測る機能を巡る米医療器具のマシモ(Masimo Corporation[Irvine, California])との特許紛争で、米当局がアップルに輸入禁止命令を出したことに対応する旨。対象は9月に発表した新製品「シリーズ9」と高機能機種の「Ultra2」。

◇Apple fails in bid to delay Apple Watch sales ban (12月20日付け CNBC)
→*国際貿易委員会(ITC)が提出した書類によると、アップルは差し迫ったアップルウォッチの販売禁止を延期するための入札に敗れた旨。
 *ホワイトハウスの土壇場での介入だけが、米国での販売一時停止を防ぐことができる旨。


【東南アジア関連】

米国の半導体輸出規制強化を受けて、中国の半導体設計企業によるマレーシアへの組立実装発注が増えている状況である。

◇Exclusive: Chinese firms look to Malaysia for assembly of high-end chips, sources say―Sources: Chinese companies eye Malaysia for GPU assembly (12月18日付け Reuters)
→米国が中国の半導体産業に対する制裁を拡大した場合のリスクヘッジのため、ハイエンド・半導体の一部の組み立てをマレーシア企業に依頼する中国の半導体設計企業が増えている、と情報筋が語った旨。
本件に詳しい3人の関係者によると、これらの企業はマレーシアの半導体パッケージング企業に、グラフィック・プロセッシング・ユニット(GPUs)として知られる種類の半導体の組み立てを依頼している旨。

◇Chinese firms look to Malaysia for assembly of high-end chips, sources say (12月19日付け AOL)

◇Chip packaging as next front in the tech wars―Chinese chip firms racing to secure packaging deals in Malaysia before US imposes anticipated sanctions on the key sector (12月19日付け Asia Times)
→サプライチェーンを多様化し、米国の半導体輸出規制強化から自社事業を守るために、マレーシアの半導体パッケージング企業に発注する中国のチップ設計企業が増えている旨。
これらの中国企業は、マレーシアの半導体パッケージング・サービス・プロバイダーに、人工知能(AI)訓練に使用できるグラフィック・プロセッシング・ユニット(GPU)の組み立てを依頼している、とロイター通信は12月17日、3人の無名の情報筋の話を引用して報じた旨。

台湾液晶のAUOが、シンガポール工場を閉鎖している。

◇台湾液晶大手のAUO、年内にシンガポール工場閉鎖 (12月21日付け 日経)
→台湾液晶パネル大手の友達光電(AUO)は12月中にシンガポールの工場を閉鎖する旨。有機ELパネルの普及で従来型の液晶パネルの需要が低迷しているためで、最大500人の従業員の雇用に影響する旨。

ご意見・ご感想