Semiconductor Portal

HOME » ブログ » インサイダーズ » 長見晃の海外トピックス

インテルのAIはじめ最先端推進アプローチ;米国規制下での中国の自己完結開発

Intel Innovation 2023(9月19−20日:San Jose)開催などインテルからの最先端の取り組みには何と言っても注目、PCへのAIの導入、そしてそれに向けたAIベースのニューラル・プロセッシング・ユニット(NPU)搭載"Meteor Lake"プロセッサ、さらに世界初のUCIe(Universal Chiplet Interconnect Express)接続チップレットベース・プロセッサなど、以下取り出している。次に、中国・Huaweiのスマホ、Mate60 Proにおける7-nm半導体とされるプロセッサが如何に開発されたか、関心が集まるとともに、米国の対中国輸出規制の一層の締めつけの可能性が高まっている。中国では国産部品の使用が求められるとともに、国内自己完結の半導体開発に向けた動きが見られている。ともに業界の今後の景観にどう影響していくか、目が離せない展開である。

長見晃の海外トピックス

≪インパクトを孕んだ今後の展開≫

インテルの最先端の取り組みが相次いで目に入ってきたが、まずは、Moore則をさらに進めていくよう半導体用ガラス基板があらわされている。以下の通り、2030年まで見据えた内容である。

◇Intel unveils glass substrates for chips to advance Moore’s Law―AI's bigger power needs may be solved with glass (9月18日付け VentureBeat)
→製造コストの削減と歩留まりの向上は、先端実装に向けて設計されたガラス基板におけるインテルの躍進がもたらす多くの利点のうちの2つに過ぎない、とIntel Fellow and director of substrate module engineering、Rahul Manepalli(ラフル・マネパリ)氏。インテルはアリゾナのファウンドリー事業を拡大し、実装により注力しており、ガラス基板は2030年までに半導体に使用できるようになると予測している旨。

◇Intel Sees Glass as a Vital Material in the Race to Power AI (9月18日付け BNN Bloomberg (Canada))
→インテル社は、世界のコンピューターが増え続ける人工知能(AI)のワークロードを処理するために、ガラスという予想外の素材に賭けている旨。
インテルの研究者によれば、プロセッサが大型化・複雑化するにつれて、コンピュータの他の部分と通信する能力がネックになる旨。半導体と接続部品の間に位置するガラスベースの基板が、この課題に対する答えになると同社は言う旨。

◇Intel thinks glass substrates are a clear winner in multi-die packaging―Don't get too excited, tech won't be ready until the end of the decade (9月18日付け The Register)
→ムーアの法則を維持するためのインテルの最新の戦略は、データと電力がコンピュート・ダイに出入りする際の仲介役である有機基板をガラス基板に置き換えることである旨。

ガラス基板について、インテルの工場見学を行った記事である。

◇Inside Intel's Chip Factory, I Saw the Future. It's Plain Old Glass―Intel is moving its processors to a new foundation to try to keep up with exploding demand for new computing horsepower. (9月19日付け CNET)
→・・・・・インテルは火曜19日にカリフォルニア州サンノゼで開催されるイノベーション・イベントで、このガラス・テクノロジーについて詳しく説明する予定。私は、アリゾナ州チャンドラーにあるインテルの超清浄CH8工場に入るために、頭からつま先まで"バニースーツ"を着用し、この技術がどのように機能するかを初めて見たたった2人のジャーナリストの1人だった。フェニックス地域の灼熱の砂漠地帯にある白く巨大なハイテクビルで、インテルは小さな卓上サイズのガラス板を、プロセッサー本体と同じ技術で作られたペーパークリップ大の長方形のサンドイッチ回路に変えている。・・・・・

そして、Intel Innovation 2023関連の記事発信である。AI(人工知能)に向けた"Meteor Lake"プロセッサ、UCIe接続チップレットベース・プロセッサ、など先端の取り組みが、多彩なキーワードで以下の通りである。

◇Intel readies its AI PC neural processor, a new take on AI Everywhere (9月19日付け FierceElectronics)
→*インテルはNvidiaのAIの栄冠を狙っており、インテルCEOのパット・ゲルシンガー氏は今週、PCにAIを導入するための同社の取り組みを訴えた旨。
 *インテルCEOのパット・ゲルシンガー氏は、火曜19日にカリフォルニア州サンノゼで対面開催された第3回Intel Innovation conferenceインテル・イノベーション・カンファレンスにて、開発者がアプリケーションにAIツールを使用するのを支援するという同社の役割に大きく焦点を当てた旨。また、Acer社との協業によるPC用AIニューラル・プロセッサーの12月リリース日も発表した旨。

◇Intel unveils Meteor Lake processor to democratize AI tech (9月19日付け VentureBeat)
→インテルは、AIベースのニューラル・プロセッシング・ユニット(NPU)を搭載した最新のコードネーム"Meteor Lake"プロセッサを披露した旨。
インテルのパット・ゲルシンガー最高経営責任者(CEO)は、インテル・イノベーション・イベントの基調講演で、Meteor LakeプロセッサーはIntel Core Ultraプロセッサーの名称とAI PC製品ラインの下で12月にデビューすると述べた旨。

◇Intel Flashes World’s First UCIe-Connected Chiplet-Based CPU―Standardization comes to fore. (9月19日付け Tom's Hardware)
→インテルCEOのパット・ゲルシンガー氏は、Innovation 2023で世界初のUCIe接続チップレットベース・プロセッサを披露、UCIe対応シリコンの初公開となる旨。この半導体は、独自のIntel 3プロセス・ノードで製造されたIntel UCIe IPチップレットと、最先端のTSMC N3Eノードで製造されたシノプシスのUCIe IP半導体を組み合わせたものである旨。2つのチップレットはインテルのEMIB(Embedded Multi-die Interconnect Bridge)インターフェイスを介して通信する旨。

◇Intel developing its own stacked cache tech to compete with AMD 3D V-Cache―Intel aims to rival AMD with stackable cache tech ―Intel is working on an answer to AMD's powerful cache innovation (9月20日付け TechRadar)
→インテルはアドバンスト・マイクロ・デバイセズ(AMD)の3D V-Cacheの独自バージョンを開発している、とパット・ゲルシンガーCEOは語る旨。同じイノベーション・イベントで、最高技術責任者(CTO)のGreg Lavender氏は、AI技術の展開における同社のオープン性へのアプローチを指摘した旨。

◇Intel CTO highlights open and secure advances for AI deployment (9月20日付け VentureBeat)
→Intel Innovation 2023イベント2日目、インテルCTOのGreg Lavender氏は、インテルのdeveloper-firstでオープンなエコシステム哲学が、人工知能(AI)の機会への幅広いアクセスを可能にしていることを説明した旨。

◇Intel enters AI-powered PC race with its first EUV-made chip―Intel debuts Meteor Lake for AI PCs, UCIe-enabled silicon ―Top U.S. chipmaker takes critical step in battle against TSMC and Samsung (9月20日付け Nikkei Asian Review (Japan))
→インテルは、極端紫外線(EUV)リソグラフィーを用いた同社のIntel 4プロセス・ノードで製造されるMeteor Lakeプロセッサーを発表した旨。同社はまた、universal chiplet interconnect express(UCIe(TM))インターフェースを備えたチップレットベースのプロセッサーをプレビューした旨。

◇Intel to expand AI Meteor Lake chip to edge, beyond the AI PC (9月20日付け FierceElectronics)
→インテルは、12月のPC向けMeteor Lakeの展開に続き、来年、数十億のIoTデバイスで使用される可能性のあるMeteor Lake半導体の頑丈なバージョンを作成する予定であることが、Fierce Electronicsの取材で分かった。
インテルは来年、AI機能を備えたMeteor Lakeチップを数十億のエッジデバイスに搭載し、小売、製造、物流などで使用する予定だと、インテルのGMがFierce Electronicsに語った旨。

今回の新型半導体のわかりやすいイメージである。以下に続くMicrosoftの記事を合わせると、Wintelが思い浮かぶところがある。

◇Intel、AI向け新型半導体;パソコン上で手軽に利用 (9月20日付け 日経 電子版 02:34)
→米インテルは19日、技術イベントを開き、パソコン上で人工知能(AI)を搭載したソフトを効率的に動かす半導体を開発したと発表、ChatGPTなどの生成AIは巨大なデータセンターを使って動かしているのに比べ、パソコン上で画像の自動加工や文章の要約といったAI機能をより手軽に使えるようになる旨。

◇Microsoft、Windowsに生成AI全面搭載;「95」再来狙う (9月22日付け 日経 電子版 08:22)
→米マイクロソフトは21日、基本ソフト(OS)「ウィンドウズ」搭載パソコンに生成AI(人工知能)を使った支援機能を全面搭載すると発表、これまで試験提供してきたが、26日から順次、サービスを正式に始める旨。文書の要約や画像の自動作成といった機能を拡充し、ビジネスソフトの大幅な業務効率改善につなげる旨。

インテルのCEO、Pat Gelsinger氏のいろいろな問題意識に溢れるコメントを感じている。

◇Intel CEO Pat Gelsinger on bringing back the Intel developer forum (9月19日付け SILICON VALLEY BUSINESS JOURNAL)
→インテルのCEOはForbesの取材に対し、貴重なイノベーションの原動力であったものを「愚かにも」殺してしまったと語った旨。

◇インテルCEO、半導体供給網は「米欧ア3極分散で優位」 (9月21日付け 日経 電子版 11:30)
→米インテルのパット・ゲルシンガー最高経営責任者(CEO)は20日、日本経済新聞などの取材に応じた旨。米中対立や台湾有事といった地政学リスクに触れ、生産体制の米国以外への分散を進めていると説明した旨。英半導体設計アームに少額出資しており、次世代半導体の量産に向け提携する旨。

中国市場に向けたインテルの現実的な対応も、次の通り見られている。

◇Intel sees massive demand for AI chips designed for China amid LLM boom and US export curbs, report says (9月21日付け South China Morning Post (Hong Kong))
→*米国の貿易制限を遵守するよう仕立てられたインテルのGaudi2プロセッサーの売れ行きが、7月の発売以来好調だと台湾メディアが報じた旨。
 *高騰する需要の下、米インテル社はサプライヤーである台湾積体電路製造(TSMC)への発注を増やした旨。

AI活況、今年の半導体ベンダーランキング、以下の驚きの見方があらわされている。

◇Nvidia to be No.1 this year, says SI―Forecast: AI to lift Nvidia to top in semiconductor revenue (9月22日付け Electronics Weekly (UK))
→1)Nvidiaは、今年の売上高でNo.1の半導体企業になる可能性が高い、とSemiconductor Intelligence社。Nvidiaの2023年の売上高を約$52.9 billion、Intelは$51.6 billionと見積もっている旨。
 2)Semiconductor Intelligenceは、Nvidiaの今年の売上高が$52.9 billionになり、2022年の売上高のほぼ2倍となって、同社が世界第1位になると推定している旨。インテルは$51.6 billion、サムスンは$45.4 billionでトップ3に入る旨。

次に、中国・Huaweiのスマホ、Mate60 Proにおける7-nm半導体とされるプロセッサを巡る、いろいろ絡んで憶測含みの内容、以下の通りである。

◇US curbs on TSMC ex-engineer Liang ‘won’t hurt SMIC’―If Taiwanese chips wizard violated export controls, Washington can freeze his US assets ? but he’s still a PRC hero (9月15日付け Asia Times)
→ファーウェイのMate60 Pro用の7ナノメートル・チップを製造したセミコンダクター・マニュファクチャリング・インターナショナル・コープ(SMIC)を率いた台湾の半導体・エンジニアが米国から制裁を受けるかどうかが、台湾と中国本土で話題になっている旨。

◇SK hynix did not supply chips to Huawei: vice chairman (9月15日付け Yonhap News Agency (South Korea))
→SK hynixのVice Chairman、Park Jung-ho氏が金曜15日に、同社は2020年の米国の対中半導体制裁を受けてHuawei Technologies Co.との取引を停止、該中国のスマートフォンメーカーには半導体を供給していないと述べた旨。
先週、Bloomberg Newsが、韓国のチップメーカーのDRAM--LPDDR5--およびNANDフラッシュメモリが該中国のハイテク企業の最新スマートフォン、Mate 60 Proに使用されていると報じた後、SK hynixは、同社のメモリ半導体がHuawei製の新しいスマートフォンにどのように使われることになったかについて内部調査を開始した旨。

中国での自己完結の開発に絡む、以下の内容である。

◇Huawei Says China-Made Chips Must Be Used, Even if Inferior―Huawei exec urges use of China's homegrown chips―It just probably has to.    (9月16日付け Tom's Hardware)
→ファーウェイのXu Zhijun(徐直?)会長は、品質に遅れがあるにもかかわらず、中国製半導体の使用を推進していると報じられており、「もし使用しなければ、このギャップは常にギャップであり、遅れは常に遅れとなる。」としている旨。同社のスマートフォン、Mate 60 Proには、中国製のKirin 9000sシステムオンチップ(SoC)が搭載されている旨。

◇中国政府、EVメーカーに「国産部品」使用指示;半導体など日米欧製品排除か (9月17日付け 讀賣新聞オンライン)
→中国政府が、中国の電気自動車(EV)メーカーに対し半導体などの電子部品について、中国企業の国産品を使うように内部で指示していることがわかった旨。世界的に急成長するEVの分野でサプライチェーン(供給網)を国内で完結させる狙いとみられ、今後、日米欧の部品メーカーは排除される可能性が高い旨。中国政府は自ら掲げる「高水準の開放」とは逆行し、成長分野での外資排除の動きを強めている旨。

◇Tech war: Huawei deputy chairman Eric Xu urges more support for Chinese-made semiconductors despite gap with advanced foreign chips (9月18日付け South China Morning Post)
→*ファーウェイのdeputy chairman、Eric Xu(エリック・シュー)氏は、自国開発の半導体、サーバーおよびパソコンの採用拡大が、中国のハイテク進歩の助けになると述べた旨。
 *中国の半導体製造技術は、米国の輸出規制のため、長い間キャッチアップモードであり続けるだろう、と同氏は述べた旨。

SMIC製造の今回の半導体が及ぼす波紋があらわされている。

◇Huawei’s chip breakthrough poses new threat to Apple in China ― and questions for Washington (9月19日付け CNBC)
→*ファーウェイの最新スマートフォン「Mate 60 Pro」には、5Gをサポートすると思われる半導体が搭載されている旨。
 *米国の制裁措置が、中国の巨大ハイテク企業をこの技術から切り離そうとしているにもかかわらず、である旨。
 *中国のSMICが製造したこの半導体は、ワシントンに懸念を呼び起こし、なぜそれが可能なのかという疑問を投げかけた旨。
 *復活したファーウェイは、米国企業にとって最大の市場のひとつである中国において、アップルに挑戦状を突きつける可能性がある旨。

米国のライモンド商務長官の受け取り、および米国議会の反応である。

◇No Evidence That China Can Make Advanced Chips ‘at Scale,’ US Says (9月19日付け BNN Bloomberg (Canada))
→ジーナ・ライモンド商務長官は、先月訪中した際に中国のファーウェイ・テクノロジーズ社が先進的な半導体を搭載した新型携帯電話を発表したことに「動揺した」と述べたが、中国がそれらの部品を"大規模に"製造できるという証拠はアメリカにはないと指摘した旨。

◇US lawmaker to urge chip industry group to reduce China investments -source (9月19日付け Reuters)

中国での半導体製造の取り組みについての内容、見方である。

◇China sets out to develop EUV lithographic ‘cannon’―Long-haul plan is to build steady-state microbunching accelerator to create extreme-ultraviolet light source (9月19日付け Asia Times)
→中国は、独自のリソグラフィ拠点を作るために新しい極端紫外線(EUV)光源の使用を模索しているが、技術専門家は、このような野心的な目標を達成するには何年もかかるかもしれないと述べている旨。
ここ数日、中国のインターネット上では、清華大学がsteady-state microbunching(SSMB)技術でブレークスルーを果たし、ASMLのEUVリソグラフィの 数倍のパワーを持つEUV光源を作ることができるという記事や動画が流行している旨。

◇ExclusiveTech war: China’s top memory chip maker YMTC forges closer ties with domestic supplier to replace US parts, sources say (9月20日付け South China Morning Post)
→*2つの情報筋によると、YMTCは中国のサプライヤーと協力して、米ラム・リサーチ社製の部品の代替品を製造している旨。
 *今年初め国営投資家から$7 billionの増資を受けた後、YMTCの現地化努力は死活問題である、とある関係者は言う旨。

◇U.S. likely to tighten tech curbs as China advances chip production (9月20日付け The Japan Times)
→北京が最先端の7-nm半導体の製造に成功したことで、ワシントンは中国に対する技術輸出規制をさらに強化することになりそう、現在の規制では、中国企業が抜け穴を見つけるのを防ぐことはできない、と専門家は言う旨。
どうやら、あまり先端ではない西欧のリソグラフィ装置を使って作られたようなHuaweiの新しいMate 60 Proスマートフォンシリーズに搭載されたシリコンチップは、米国や他のライバルに追いつくための努力を強化する中で、中国の国内半導体製造能力が飛躍的に向上したことを表している旨。

Huaweiのスマホ・スピンオフ、Honorの半導体対応、そしてHuaweiの監視カメラ向け半導体の出荷が、以下の通りである。

◇Huawei smartphone spin-off Honor has no plans to develop own advanced chips, CEO says, as Qualcomm and MediaTek offer ‘best solutions’ (9月21日付け South China Morning Post (Hong Kong))
→*HonorのGeorge Zhao Ming(ジョージ・ザオミン)最高経営責任者(CEO)は、自社でシステムオンチップ(SoC)製品を開発する計画はないと述べた旨。
 *同社は、5G信号をブーストするために自社開発した無線周波数通信デバイス「C1」などのノンコアチップに注力する旨。

◇ファーウェイ、監視カメラ向け半導体を出荷;米の規制克服か (9月21日付け 日経)
→中国通信機器大手の華為技術(ファーウェイ)が、高性能半導体の内製化を進めているとの見方が広がっている旨。8月末に発売したスマートフォンの新機種に独自開発の半導体を搭載したとされるほか、一部メディアは監視カメラに使う半導体の出荷も始めたと報じた旨。米政府の警戒が一段と強まりそう。

インテル、そしてHuaweiと、米中摩擦の続く中、そしてAI活況の高まりの中での、それぞれの事業展開に目が離せないところである。


コロナ「5類」移行とはいえ、用心怠りなくの現状と言えるかと思うが、コロナ前に戻る舵取りがそれぞれに行われている中での世界の概況について、以下日々の政治経済の動きの記事からの抽出であり、発信日で示している。

□9月19日(火)

米連邦準備理事会(FRB)の金融引き締め長期化への警戒気分が覆って、下げ基調の今週の米国株式市場である。

◇NYダウ小反発、6ドル高;原油高警戒で方向感乏しく (日経 電子版 05:56)
→18日の米株式市場でダウ工業株30種平均は小幅に反発し、前週末比6ドル06セント(0.01%)高の3万4624ドル30セントで終えた旨。原油高によるインフレ再燃への警戒があるなか、ディフェンシブ株の一部に買いが入り、指数を支えた旨。半面、原油高が企業収益や消費の下押しにつながるとの見方もあり、ダウ平均は下落に転じる場面があった旨。全般に方向感に乏しい相場展開が続いた旨。

中国経済の低迷が本年の成長を抑える予測見通しが続いている。

◇世界の成長率2024年に2.7%;中国減速響く、OECD下方修正 (日経 電子版 18:45)
→経済協力開発機構(OECD)は19日、2024年にかけて世界経済が減速するとの見通しを示した旨。各国の金融引き締めに加え、中国の不動産市場の構造問題が響き、2024年の成長率を2.7%に下方修正した旨。中国経済が急減速するシナリオでは世界の成長率を最大1ポイント超、下押しすると試算した旨。

□9月20日(水)

◇NYダウ反落、106ドル安;原油高でインフレ再加速に懸念 (日経 電子版 05:58)
→19日の米株式市場でダウ工業株30種平均は反落し、前日比106ドル57セント(0.30%)安の3万4517ドル73セントで終えた旨。原油相場の上昇が続き、インフレ圧力が高まるとの観測が広がった旨。米連邦準備理事会(FRB)の金融引き締めが長期化するとの懸念につながり、米株に売りが出た旨。

◇アジア新興国、2023年4.7%成長;輸出低下などで下方修正 (日経 電子版 09:00)
→アジア開発銀行(ADB)は20日、2023年のアジア新興国・地域の国内総生産(GDP)の前年比伸び率が4.7%になるとの見通しを発表、7月に公表した前回予想から0.1ポイント下方修正した旨。輸出需要の低下や中国の内需減速などが影響する旨。物価高が緩和し観光需要も好調だが、吸収できない旨。
アジア新興国は中国やインド、東南アジア各国など46カ国・地域を含む旨。

□9月21日(木)

中国は、安全保障優先の舵取りがあらわされている。半導体の自己完結が、ここでも強調されている。

◇中国、経済より安保優先;利下げ見送り、景気対策は小粒;半導体国産化に重点 (日経)
→中国経済の回復が遅れている旨。不動産市場の低迷が長引き消費も勢いを欠くが、政府が打ち出す対策は力強さに欠ける旨。目先の経済成長よりも、半導体産業の育成など米国との覇権争いをにらんだ経済安全保障を優先する習近平(シー・ジンピン)政権の姿勢を映している旨。

◇1分で読める海外市場(20日);NYダウ続落、2年債17年ぶり高水準 (日経 電子版 06:49)
→米株式市場でダウ工業株30種平均は続落し、前日比76ドル85セント(0.2%)安の3万4440ドル88セントで取引を終えた旨。午後に米連邦公開市場委員会(FOMC)の結果が発表され、米連邦準備理事会(FRB)の金融引き締めが長期化するとの見方が広がった旨。米原油先物相場と米長期金利の上昇が一服したことで買いが先行し、一時は上げ幅が250ドルを超えていたが、FOMCを受けた売りで下げに転じた旨。ハイテク株中心のナスダック総合株価指数は1.5%安だった旨。

□9月22日(金)

◇NYダウ続落、370ドル安;金融引き締めの長期化観測で (日経 電子版 05:50)
→21日の米株式市場でダウ工業株30種平均は3日続落し、前日比370ドル46セント(1.07%)安の3万4070ドル42セントで終えた旨。7月10日以来の安値となった旨。
前日発表の米連邦公開市場委員会(FOMC)の結果を受け、米金融引き締めが長期化するとの見方が改めて広がった旨。米長期金利がほぼ16年ぶり高水準を付け、株式の相対的な割高感が意識された旨。

□9月23日(土)

◇NYダウ続落、106ドル安;金融引き締めの長期化に警戒 (日経 電子版 05:53)
→22日の米株式市場でダウ工業株30種平均は4日続落、前日比106ドル58セント(0.31%)安の3万3963ドル84セントと7月上旬以来の安値で終えた旨。金融引き締めの長期化が米景気を冷やすとの懸念から売りが出た旨。自動車大手に対するストライキが長引くとの見方も相場の重荷だった旨。


≪市場実態PickUp≫

【アップル関連】

我が国でも発売されたばかりのiPhone15であるが、インドでの状況など特に、以下の通りである。Qualcommとの半導体開発に絡む状況もあらわされている。

◇Foxconn reportedly to make iPhone 15 Plus in India starting 4Q (9月15日付け DIGITIMES)
→iPhone15に続き、Foxconnはチェンナイの工場でもう一つのiPhone15モデル、iPhone15 Plusを製造すると報じられている旨。
Economic Timesは情報筋の話を引用し、Foxconnは第四四半期にチェンナイの工場でiPhone 15 Plusの製造を開始するとしている旨。しかし、祝祭シーズンが近づくにつれ、インドにおけるiPhoneの生産能力はまだ低く、Appleは現地の需要を満たすために海外からスマートフォンを輸入する必要がある旨。

◇Foxconn aims to double jobs, investment in India over next 12 months (9月17日付け Reuters)
→フォックスコンが、インドでの事業および労働力の拡大を目指す旨。

◇Inside Apple’s Spectacular Failure to Build a Key Part for Its New iPhones―Behind Apple's failure to develop modem chip without Qualcomm (9月20日付け The Wall Street Journal)
→アップルは、長年のサプライヤーであり宿敵でもあったクアルコムとの関係を断ち切るために、シリコンチップの設計に着手した旨。

◇iPhone 15 reportedly receives huge pre-orders in India (9月21日付け DIGITIMES)
→アップルは、9月中旬のiPhone 15シリーズの発売後、膨大な予約注文を受けたと報じられている旨。アップルは、アップルに有利なプレミアム化が進む世界第2位のスマートフォン市場でiPhoneの売上を伸ばすため、フル稼働に賭けている旨。

◇iPhone15発売、12.5万円から;日本では月収の4割弱に (9月22日付け 日経 電子版 11:40)
→米アップルは22日、新型スマートフォン「iPhone15」シリーズを全世界で一斉に発売した旨。都内の直営店では開店前から行列ができ、上位機種などを求める客でにぎわった旨。最も安い機種でも国内価格は約12万5000円となり、平均月収の4割弱を占める旨。賃金が伸び悩む日本の消費者にとっては購入の負担が増している旨。


【Arm関連】

これも米国ナスダック市場への新規株式公開(IPO)を行ったばかりの英国のArmについて、週明けの状況が以下の通りである。

◇Arm listing stirs hopes of fee revival at Wall Street banks―Arm debut prompts hope of IPO revival (9月15日付け Financial Times)
→英国の半導体メーカー、アームがナスダック市場への新規株式公開(IPO)に成功し、追加上場も予定されていることから、ウォール街のバンカーの間では、長期にわたる発行の停滞の後、手数料の復活に対する楽観論が再燃している旨。今回の株式公開は、平均的な大型上場の7倍にあたる8400万ドルの手数料をアドバイザーにもたらした一方、広範な投資銀行部門は、昨年の金利上昇によってもたらされた困難の後、回復を期待している旨。

◇Arm Holdings slips at start of first full week of trading (9月17日付け SILICON VALLEY BUSINESS JOURNAL)
→ナスダックでの取引最初のfull weekのスタートで、アーム社の株価は初日の取引開始価格を下回っている旨。

◇Arm Is Already Down Nearly 20% From Its First-Day High (9月18日付け Forbes)

◇Could Arm rely on licensing customized SoC solutions beyond standard IPs as new profit booster after IPO?―Sources: Arm looks to custom SoC for profitability (9月18日付け DIGITIMES)
→情報筋によると、アームは株式公開後に利益を上げるため、IPライセンスにとどまらず、カスタム・システムオンチップ(SoC)・ソリューションへの移行を検討している旨。アームはナスダック市場にデビューして以来、すでに株価が下落している旨。


【TSMC関連】

製造装置の納入を遅らせる動きもあって、今週も以下、多岐に及ぶ動き&内容である。

◇TSMC tells vendors to delay chip equipment deliveries, sources say (9月15日付け Reuters)

◇Exclusive-TSMC tells vendors to delay chip equipment deliveries - sources (9月15日付け Yahoo Finance)

◇TSMC tells vendors to delay chip equipment deliveries (9月16日付け Borneo Bulletin)
→TSMCは主要サプライヤーに対し、ハイエンド・チップ製造装置の納入を遅らせるよう指示、世界トップの契約半導体メーカーが顧客の需要にますます神経質になっていると事情通の2つの筋発。
アリゾナ州にあるUSD40 billion規模の半導体工場の遅延に悩まされているTSMCによるこの指示は、コスト管理を目的としたもので、同社が需要の見通しに対して警戒感を強めていることを反映している、と該情報筋は述べている旨。

◇TSMC aims to achieve RE100 target ahead of schedule in 2040―TSMC has sights on RE100 2040 target, ahead of goal (9月16日付け Focus Taiwan)
→台湾積体電路製造股份有限公司(TSMC)は、環境への取り組みを加速しており、再生可能エネルギー消費100%というRE100(Renewable Energy 100%)の目標を計画より10年早く達成する見込みの旨。同半導体メーカーは今年、再生可能エネルギーによるエネルギー使用量を目標の40%より高い60%に達すると見込んでいる旨。

◇TSMC and Arizona Discussing Advanced Chip Packaging Investment―TSMC in talks to add chip packaging capacity in Ariz. (9月19日付け BNN Bloomberg (Canada))
→台湾積体電路製造股份有限公司(TSMC)は、同社がすでにアリゾナ州での2つの工場にコミットしている追加投資と先端半導体実装能力について協議している旨。これとは別に、TSMは台湾新竹の2ナノメートルプロセスプロジェクトは順調に進んでいると述べている旨。

◇2nm project in Hsinchu's Baoshan on schedule: TSMC (9月19日付け Focus Taiwan)
→台湾積体電路製造股份有限公司(TSMC)は火曜19日、新竹のBaoshan Township(宝山郷)に次世代の2ナノメートルプロセスを使用する先進的なウェハ工場を建設するプロジェクトが予定通り進んでいると発表した旨。

人材の重みを強調するMorris Chang氏があらわされている。

◇Talent supply critical to chip success: Chang (9月19日付け Taipei Times)
→台湾積電股份有限公司(TSMC、台積電)の創業者であるMorris Chang(張忠謀)氏は昨日、台湾が世界の半導体製造業界をリードしている要因として、高品質で献身的なエンジニアの持続的な供給と離職率の低さを挙げた旨。
張氏は台北で開催されたInternational Association of Judges(IAJ:国際審査員協会)の70周年記念式典で、半導体分野における台湾の競争優位性についてスピーチを行った旨。

◇TSMC and Saxony unveil talent incubation program (9月20日付け Taipei Times)
→台湾積電股份有限公司(TSMC、台積電)は昨日、人材不足に対処する目的で、ドイツのザクセン州政府およびドレスデン工科大学と共同で半導体人材インキュベーション・プログラムを立ち上げる協定に調印した旨。


【Nvidia関連】

AIブームに乗って半導体販売高の急増が見込まれるNvidiaは、上にも驚きの予測が示されているが、現下の同社の動きから以下の通りである。

◇Nvidia Cash Geyser Can Cover Buybacks and Vital R&D―Nvidia flush with funds for R&D, shareholders (9月15日付け BNN Bloomberg (Canada))
→エヌビディアはAIブームを利用し、研究開発(R&D)と自社株買い配分のためのキャッシュを捻出している旨。アナリストのChris Mack氏は、該半導体メーカーの財務上の動きを「フレックス」と見ており、エヌビディアのフリーキャッシュフローは、今期の$23 billionから2025年度には$38 billionになると予想している旨。

◇Nvidia Sold 900 Tons of H100 GPUs Last Quarter, Says Analyst Firm―Omdia: Nvidia shipped 900+ tons of H100 GPUs in Q2 ―Analyst firm estimates how many H100 computer GPUs Nvidia shipped in Q2. (9月16日付け Tom's Hardware)
→Omdiaによると、NvidiaはAIとハイパフォーマンス・コンピューティング(HPC)アプリケーションの需要を満たすため、第2四半期に900トン以上のH100グラフィックス・プロセッシング・ユニット(GPUs)(単一のH100 GPUの平均重量はおよそ1.84キログラム)を販売したと推定されている旨。同市場調査会社は、このペースが続けば通年で3600トンになると予測している旨。

◇Nvidia CEO Touts India as Major AI Market in Bid to Hedge China Risks―Nvidia hedging China risks by courting India as AI market (9月20日付け BNN Bloomberg (Canada))
→エヌビディアのジェンセン・フアンCEOは今月、インドを視察、該半導体メーカーは苦境にあえぐ中国にとどまらず、AI市場の拡大を狙っている旨。インドは製造インフラへの補助金で大手ハイテク企業を誘致しようとしている旨。


【AI(人工知能)関連評論】

英国は来る11月に世界的なAI首脳会議(サミット)を開催、中国を招待する動きとなっているが、市場とともに議論でも活況を呼ぶ現状である。いろいろな切り口の評論を以下取り出している。

◇Quantum Plus AI Widens Cyberattack Threat Concerns―Post-quantum cryptography takes on cybersecurity threats ―Post-quantum cryptography must be applied now to prevent hackers from decoding today’s data when quantum computers become available. (9月18日付け Semiconductor Engineering)
→量子コンピューティングがAIと組み合わされるとき、サイバー脅威の懸念は増大する可能性があり、開発者は現在、ポスト量子暗号の採用を強く求められている旨。Arm社のvice president technology strategy and fellow、Andy Rose氏は、「量子への関心が高まるにつれ、敵対者はすでに暗号化されたデータを盗み出し、量子がより広く利用できるようになるまで保存し、暗号を解読できるようにし始めている」と指摘する旨。

◇Learning with errors―Opinion: Jury still out on LLMs as design tools―Large-language models threaten to upend software and hardware development as we know it, but can they really deliver the goods? Chris Edwards investigates. (9月19日付け New Electronics)
→large-language model(LLM)は、ソフトウェアやハードウェアの開発・設計に導入されつつあるが、New ElectronicsのChris Edwards氏は、それが仕事の進め方を再構築するかどうかを判断するのは時期尚早だと断言する旨。ケイデンス・デザイン・システムズ社のproject engineering group director, in the system verification group、Matt Graham氏は、故障解析時にログファイルの膨大なデータを活用するために、LLMsがサポート的な役割を果たすと見ている旨。

◇次世代DRAMはメモリー不況の救世主か;AI用途で需要増―ASIA TECH (9月19日付け 日経 電子版 12:00)
→苦境が続く半導体メモリー業界で、次世代DRAM技術に注目が集まっている旨。代表的なメモリーのDRAMチップを積層し、高速・大容量のデータ処理を可能にする「HBM(広帯域メモリー)」。人工知能(AI)普及に伴って需要が急増しており、メモリー不況から抜け出す救世主として期待が高まっている旨。
HBMは韓国SKハイニックスが2013年に開発した新たなメモリー構造。

ご意見・ご感想