セミコンポータル
半導体・FPD・液晶・製造装置・材料・設計のポータルサイト

3-nmプロセス"N3"、2-nmも視野のTSMC、米中の狭間での急進ぶり

新型コロナウイルスによる累計感染者数は金曜28日昼前時点、世界全体で2422万人を超え、1週間前から170万人増と勢いが続いている。地域別では中南米が最多、北米、アジア、欧州の順に変わりはない。米国のHuawei制裁強化に対し、中国側の反発に加えて米国のSIA、SEMIから先行きについて強い懸念が表明されている。その狭間にある台湾では、TSMCが今年はオンライン開催となった恒例のTechnology Symposiumsにて、最先端のアプローチがさらに急進ぶりを見せており、3-nmノードまでの展開をあらわしている。さらに2-nmを視野に工場用地の取得を進めているとして、前回取り上げたばかりのインテルとSamsungの取り組みに対しさらに抜け出る様相となっている。

≪半導体最先端の変貌≫

かつては国内での開催に足を運んだTSMC Technology Symposiumであるが、今年はここでもオンライン開催となって、今回の概要が次の通りあらわされている。7nm N7, 5nm N5, N4, および3nm N3 nodesの展開が軸であり、インテルおよびSamsungというこれまでのトップの顔ぶれを払いのける勢い&取り組みと言える内容である。

◇TSMC Dishes on 5nm and 3nm Process Nodes, Introduces 3DFabric Tech-TSMC puts Intel in the rearview (8月24日付け Tom's Hardware)
→TSMCの第26回Technology Symposium(初のTSMC Virtual Technology Symposiums and OIP[Open Innovation Platform] Ecosystem Forums)が本日開幕、7nm N7プロセス, 5nm N5, N4, および3nm N3 nodes関連の進展を巡る詳細が軸の旨。TSMCはまた、同社3DFabric技術を巡る詳細を共有、3nm nodeより先のscaling継続で用いる技術についてのいくつか糸口を与える旨。TSMCはすでに、半導体業界の序列を乱しており、IntelおよびSamsungを払いのけて、同社の業界を引っ張る7nm nodeに移行、Intelの競争相手、AMDなどを最先端にもっていってる旨。依然同社は、革新の急速な歩みを緩める兆しはなく、3nm技術のhigh volume生産を2022年に始める計画、対してIntelは7nmを2022年後半あるいは2023年始めにお披露目の計画の旨。

◇TSMC Plots the Process Course to Its Next ‘Generational Node’ (8月25日付け EE Times)
→N5プロセスでの生産立ち上げでも、TSMCがannual Technology Symposiumにて、N4プロセスを投入、2021年にonline化、2022年に量産の予定の旨。
避けられない質問を予想、同社はさらに続くN3についてのいくつかの詳細を供給の旨。

7-nmにしてもここまで実績を積んでいる、という以下の内容である。

◇TSMC makes 1 billionth defect-free 7nm chip (8月24日付け DIGITIMES)
→TSMCが、同社7-nm技術で10億番目のgood dieを製造、10億個の動作する欠陥のない7-nm半導体を意味する旨。TSMCは、2018年4月に7-nmプロセス技術を量産化、"数10の顧客からの100をかなり越える製品"に向けて7-nm半導体を製造の旨。

最先端の取り組みによる株価上昇が続いている。

◇TSMC shares extend momentum on 5nm capacity expansion report-TSMC's 5nm capacity expansion boosts stock price (8月24日付け Focus Taiwan)
→TSMCのTaiwan Stock Exchangeでの取引株価が月曜24日上昇、同社の5-nanometer寸法搭載microchips生産capacityを増やしていく発表を受けての旨。この動きは、ファウンドリー市場においてTSMCがSamsung Electronicsに対してもつリードを広げる可能性、と株式tradersは思っている旨。

TSMCの席巻がさらに強まっていくファウンドリー業界の第三四半期の読みである。

◇Q3 foundry revenues to grow 14%-TrendForce: Foundry revenue increases 14% in Q3 on year (8月25日付け Electronics Weekly (UK))
→TrendForce発。ファウンドリーの第三四半期売上げが、前年同期比14%増の見通し。トップ5ベンダー:

3Q売上げ
前年同期比
シェア
1 TSMC
11,350M$
21%
53.9%
2 Samsung
3,665
4%
17.4%
3 Globalfoundries
1,484
-3%
7.0%
4 UMC
1,482
23%
7.0%
5 SMIC
948
16%
4.5%

◇TSMC revenue to surpass global average: TrendForce (8月25日付け Taipei Times)
→TrendForce Corp(集邦科技)、昨日発。今四半期のTSMC(台積電)の売上げ見込みが、前年同期比21%増、グローバルファウンドリー業界の見通し、同14%増を上回る旨。TSMCの今四半期売上げは$11.35 billionに達する見込み、$11.2 billion to $11.5 billionの同社見通しに符号の旨。

今回のTSMCのシンポジウムでプレゼンと理解しているが、三次元実装の包括的取り組み、そしてAI-enabled IoTデバイス向けプロセスが披露されている。

◇TSMC intros 3DFabric technology-TSMC debuts 3DFabric tech for advanced packaging, 3DICs (8月26日付け DIGITIMES)
→TSMCが、3D silicon stackingおよび先端実装技術の包括的ファミリーとして3DFabricを投入、3DFabricは同社の先端半導体技術を補完する旨。
TSMCの3DFabric技術ファミリーは、同社のbackend CoWoSおよびInFO 3D stacking技術および新しく開発された3D heterogeneous integration用SoIC(System on Integrated Chips)から成る旨。TSMCは、3DFabricがシステム統合における相乗効果に向けてbackendおよびfrontend 3D技術を結びつけられる業界初のソリューション、としている旨。

◇TSMC intros N12e for AI-enabled IoT devices (8月26日付け DIGITIMES)
→TSMCがN12eプロセスを披露、edge AI応用に向けて最適化された現在risk生産の技術の旨。N12eは、TSMCのworld class FinFETトランジスタ技術をIoTにもたらしており、2013年に最初に投入されたTSMCの16nm FinFET技術から出ている強化技術の旨。

2024年あたりに量産という2-nmに向けた工場用地の取得が進められている。
総投資額がいくらになるのか、突き出たアプローチに注目するところである。

◇台湾TSMC、半導体工場の用地取得へ、超高性能2-nm向け (8月26日付け 日経)
→台湾積体電路製造(TSMC)は25日、回路線幅が2-nmの超高性能な半導体を生産する工場用地取得に向け、既に交渉手続きを進めていることを明らかにした旨。2兆円程度の投資になる見込み。2024年前後に量産するものとみられる旨。
同社が25日にオンラインで開いた技術シンポジウムで明らかにした旨。工場予定地は、新竹市の本社から約2キロメートルの距離にある新竹科学園区(サイエンスパーク)内。2-nm品の研究開発を行う旨、現在建設中の施設「R1」の隣に用地を取得する旨。4棟を建設する予定。

TSMCの5-nmへの5G顧客参入が見られている。

◇Marvell Joins TSMC's Big League Customers with 5G ICs-TSMC allocates 5nm capacity to Marvell for 5G chips (8月27日付け EE Times)
→データインフラシリコンのサプライヤとして変わったMarvellが、TSMCの顧客の上層部に加わって、5nm nodeでのcapacity割り当てを獲得の旨。
MarvellおよびTSMCは、今や生産に入っている世界最先端プロセス技術を用いて包括的なシリコンportfolioを供給する旨。

TSMCの以上の動きは、Huaweiに対する決定的な制裁強化に揺れる米中の狭間で展開されており、以下関連する内容を政治面そしてビジネス面から取り出している。

政治面ではまず、米国のSIAおよびSEMIからの強烈な懸念の表明である。

◇Major semi trade groups blast Trump crackdown on Huawei-US restrictions on Huawei draw fire from IC groups (8月24日付け FierceElectronics)
→Semiconductor Industry Association(SIA)とSEMIが、Trump政権によるHuawei Technologiesに対する追加制限を批判、そのような動きは米国microchipsサプライヤを害するとしている旨。「これら新たな制限は、米国技術の供給が信頼できず、non-US顧客に米国技術のdesign-outを求めさせる、という受け止めを焚きつける。」と、SEMI。

◇SEMI Statement on New U.S. Export Control Regulations (8月24日付け SEMICONDUCTOR DIGEST)
→SEMIの米国商務省が発表した新しい輸出管理規則変更に対するステートメント。SEMIは、米国国家セキュリティへの脅威に対応する輸出管理対策の役割は認めるが、米国商務省が2020年8月17日に出した新しい輸出管理規制は米国の半導体業界に害を与え、半導体supply chainに実質的な不安&混乱を生じて、究極的に米国の国家セキュリティ権益を傷つけると非常に懸念をもっている旨。

インドも、Huawei排除の方向に向かう模様である。

◇インド、ファーウェイなど中国通信機器を排除へ、FT報道 (8月25日付け 日経 電子版 10:46)
→インドの関係省庁は同国の通信会社に対し、華為技術(ファーウェイ)をはじめとする中国企業の通信機器を採用しないよう指示した旨。25日の英フィナンシャル・タイムズ(FT、電子版)がインドの当局者、企業幹部の話として報じた旨。インド政府は書面ではこうした決定を示していない旨。

電話での米中貿易協議がやっと行われ、第1段階合意の進展が確認されたとのこと。購入達成率は、現下の情勢を反映か、との受け止めである。

◇米中が閣僚級の貿易協議、第1段階合意「進展」と米政府 (8月25日付け 日経 電子版 10:57)
→米通商代表部(USTR)は24日、中国と閣僚級の貿易協議を開いたと発表、米側の声明によると、両政府は2月に発効した「第1段階の合意」に基づき中国が対米輸入を増やしており「進展」を確認したとしている旨。
交渉責任者を務めるライトハイザーUSTR代表とムニューシン米財務長官が、中国の劉鶴(リュウ・ハァ)副首相と24日(中国時間の25日)に電話で協議、発効から半年が経過した第1段階合意の進捗状況を点検した旨。閣僚級の電話協議は5月上旬以来となる旨。

◇China Increases Key Purchases With U.S. Target Still Far Off-China picks up pace of buying from US (8月26日付け Bloomberg)
→中国が米国からの製品購入を加速しているが、米中貿易協議「第1段階の合意」で置かれた目標に合わない見込みの旨。7月末時点で2020年購入目標全体の28.1%止まりの旨。

1990年前後訪れたことがある深セン。建設中の高層ビル、郊外の田園風景を思い起こすが、経済特区40年とのこと。Huaweiのお膝元でもある。

◇GDP1万倍の街、深セン特区40年、米中対立の最前線に (8月26日付け 日経 電子版 05:00)
→中国南部の広東省深セン市が経済特区に指定されて26日で40年たった旨。
市場化改革の実験場として中国経済を牽引し、経済規模は1万倍になった旨。通信機器の華為技術(ファーウェイ)など有力な民間企業を多く生んだが、米中対立や香港問題でかつてない逆風が吹く旨。

感慨に耽っている場合ではなく、こんどは南シナ海を巡る米中の応酬である。

◇中国、南シナ海でミサイル実験、米は軍事化関連企業制裁 (8月27日付け 日経 電子版 09:25)
→香港の英字紙、サウスチャイナ・モーニング・ポスト(電子版)は26日、中国が同日朝に南シナ海に向けて弾道ミサイルの発射実験を実施していたと報じた旨。米国は同日、南シナ海での軍事拠点建設に関わったとして中国企業24社に事実上の禁輸措置を発動すると発表。米中の緊張関係がエスカレートする懸念がある旨。
米商務省は26日、中国国有の中国交通建設の傘下企業など24社を安全保障上の問題がある企業を並べた「エンティティー・リスト」に27日付で追加すると発表、対象企業に米国製品を輸出する場合は同省の許可が必要となり、申請は原則却下する旨。

◇U.S. Penalizes 24 Chinese Companies Over Role in South China Sea (8月26日付け The New York Times)

◇中国、南シナ海でミサイル、米偵察機に警告か (8月27日付け 日経 電子版 11:25)
→南シナ海を巡り、米中の応酬が激しくなっている旨。中国の人民解放軍は26日、中国本土から南シナ海に向けて中距離弾道ミサイルを発射した旨。
一方、トランプ米政権は南シナ海で軍事拠点の建設に関わった中国の企業と個人に制裁を科すと発表、対立が先鋭化している旨。

Huaweiにはさらなる打撃となる特許係争の動きである。

◇UK Supreme Court Patent Ruling is Another Blow for Huawei (8月27日付け EE Times)
→中国の通信グループ、Huaweiと主要な米国特許licensingグループ、Unwired Planetの間の訴訟に関する英国最高裁による画期的判決は、知的所有権法をひっくり返すことになる旨。

TSMCを擁する台湾も、対中投資の審査強化を図っている。

◇台湾、対中投資を事前審査、半導体関連で義務化 (8月28日付け 日経)
→台湾当局は、半導体設計などのハイテク企業が中国大陸で投資する場合の事前審査を義務づける旨。今秋から、技術移転にからむすべての投資案件を対象とする予定。従来は事後の届け出などで済ませていた旨。中国大陸への技術流出に歯止めをかける旨。対中圧力を強める米国と連携する狙いもにじむ旨。

ビジネス関連に目を遣ると、台湾の半導体設計メーカーにとって中国からの恩恵とともに圧力に見舞われる現状である。

◇Taiwan IC designers to see growing pressure from China homegrown competitors-Sources: China's IC design firms challenge Taiwan rivals (8月24日付け DIGITIMES)
→業界筋発。台湾のIC設計メーカーは中国のde-Americanizationキャンペーンから最大の恩恵を受けているが、自国原産の半導体、特に端末応用向けの成熟したLCD driver ICsおよびアナログ半導体を積極的に開発している中国の半導体メーカーからの新たな競争圧力を受け入れなければならない旨。

World Semiconductor Conference 2020が南京市で開催、China Semiconductor Industry Association(CSIA)からのプレゼンが行われ、中国のmicrochips輸入が3年連続で$300 billionを上回る見込みがあらわされている。非常に大きな市場の改めての証左である。

◇China Still Buying $300 Billion of Chips From U.S., Elsewhere (8月26日付け Bloomberg)

◇Microchips import to exceed $300 billion in 2020: semiconductor expert-Expert: China to import $300B-plus in chips this year (8月26日付け Global Times (China))
→China Semiconductor Industry Association(CSIA)のdeputy director general、Wei Shaojun氏が水曜26日、中国東部江蘇省(Jiangsu Province)の南京市(Nanjing)でのWorld Semiconductor Conference 2020(8月26-28日)にて、中国のmicrochips輸入が3年連続で$300 billionを上回る見込みの旨。中国の大手ハイテク企業に対する米国の容赦ない締めつけにも拘らず、中国の半導体分野を現地化する工程は、半導体業界を強化する中央政府の有利な政策のお蔭で速度を増している旨。

Huaweiの日本法人から我が国との一層の連携強化が謳われている。

◇ファーウェイ、日本からの調達5割増、昨年、連携推進の構え (8月27日付け 日経)
→中国通信機器最大手、華為技術(ファーウェイ)日本法人の王剣峰(ジェフ・ワン)会長は26日、2019年にファーウェイが日本企業から部品などを調達した金額は約1兆1千億円で、2018年の7210億円から約5割増えたと明らかにした旨。米政府がファーウェイへの規制を強めるなかで、日本企業との連携を推進する考えを示した旨。

台湾IT主要19社の7月売上高、総じても好調、7月として過去最高を記録とのこと。

◇台湾IT、19社、売上高9.4%増、7月、TSMCは25%増収、テレワークなど追い風に (8月28日付け 日経産業)
→世界のIT景気の先行指標となる台湾企業の業績回復が鮮明。主要19社の7月の売上高合計は前年同月比9.4%増の1兆484億台湾ドル(約3兆7700億円)。新型コロナウイルスの影響で生産は一時滞ったが、5カ月連続で前年実績を上回った旨。
半導体大手の台湾積体電路製造(TSMC)や鴻海(ホンハイ)精密工業など、IT関連各社の発表資料を基に、7月の売上高を集計した旨。主要19社のうち13社が増収で、6社が減収。19社の売上高合計は7月として過去最高を記録した旨。

中国半導体業界を引っ張るファウンドリー、SMICの今年前半の業況である。

◇SMIC revenue, profits surge in 1H20-SMIC posts H1 revenue of $1.92B, up 29.4% on year (8月28日付け DIGITIMES)
→ウェーハ出荷およびASPs上昇で、専業ファウンドリー、SMICの2020年前半の売上げおよびnet profitsが高まった旨。SMICの2020年前半の売上げが前年同期比29.4%増のCNY13.16 billion($1.92 billion)、net profitsが同329.8%増のCNY1.39 billion。

台湾のMediaTekが、Huaweiへの販売許可を米国政府に求めている。

◇Taiwan's MediaTek pushes for permission to supply Huawei after U.S. curbs -MediaTek applies for US permission to ship to Huawei (8月28日付け Reuters)
→MediaTekが、アメリカのビジネス禁止措置にも拘らず、Huawei Technologiesへのmicrochips供給継続に向けて米国政府からの許可を求めている旨。同社は国際的貿易規制を一般に注視している旨。

中国のfab建設が予定通り進むのか、懸念が立ち込める2件である。

◇China's semiconductor drive stalls in Wuhan, exposing gap in hi-tech production capabilities-Construction paused on a new wafer fab in Wuhan (8月28日付け South China Morning Post (Hong Kong))
→Wuhan Hongxin Semiconductor Manufacturing Co.が2年前にウェーハfab拠点の建設を始めたが、現在は資金不足から止まっている旨。該プロジェクトは、2019年の3年間土地区画開発を阻止する裁判所命令にも影響を受けている旨。

◇Taiwan fab toolmakers brace for impact of HSMC woes-HSMC issues bedevil Taiwanese suppliers of IC gear (8月28日付け DIGITIMES)
→中国・Hongxin Semiconductor Manufacturing(HSMC)のsupply chainに従事の台湾のfab toolmakersが、該ファウンドリーstartupが計画通りにfabプロジェクトを進めているのかどうかに懸念をあらわしている旨。

コロナ禍のもと、経済再開への当面の警戒感を伴った舵取りが各国それぞれに引き続き行われている世界の概況について、以下日々の動きからの抽出であり、発信日で示している。

□8月24日(月)

米国経済の先行きについてのエコノミストの見方である。

◇U.S. Recession Likely to End Late 2020 or 2021, NABE Survey Says-Survey: Economists expect end of recession by 2021 (Bloomberg)
→National Association for Business Economicsの調査に答えたエコノミストの大多数が、米国の景気低迷が2020年後半あるいは2021年に終わるとの予想、しかしながら80%が"二番底"が考えられるとしている旨。該調査ではまた、大多数がcoronavirus危機を通して議会は中小事業者のサポートおよび追加失業保険の延長を続けるべきと見ている旨。

シリコンバレーでの現時点の一側面である。

◇Coronavirus roundup: California gets green light for Lost Wages Assistance funds (SILICON VALLEY BUSINESS JOURNAL)
→適格なCalifornia住民が、基準に適合すれば補充の失業給付、最大$300が得られる旨。


□8月25日(火)

米国株式市場は、総じて上げ基調、週末には6ヶ月ぶりに昨年末終値を上回っている。

◇NYダウ半年ぶり高値、治療法に期待、2万8000ドル回復 (日経 電子版 06:32)
→24日の米株式相場は3日続伸、ダウ工業株30種平均は前週末比378ドル13セント(1.4%)高の2万8308ドル46セントと、2万8000ドルを回復し、2月21日以来ほぼ半年ぶりの高値で終えた旨。米食品医薬品局(FDA)が23日に新たなコロナの治療法を特別認可したのを受け、コロナ対策が進んで経済が正常化に向かうとの期待から買いが優勢となった旨。

□8月26日(水)

◇Coronavirus roundup (updated): San Jose and Santa Clara County keep eviction bans going | Milpitas offers help for some small businesses (SILICON VALLEY BUSINESS JOURNAL)
→San Jose市が、Covid-19 pandemicに向けて宣言された緊急事態の間、立ち退き禁止の更新を選択の旨。

◇NYダウ反落60ドル安、エクソンなど指数除外銘柄に売り (日経 電子版 05:44)
→25日の米株式市場でダウ工業株30種平均は4営業日ぶりに反落し、前日比60ドル02セント(0.2%)安の2万8248ドル44セントで終えた旨。前日に上場来高値を付けたスマートフォンのアップルが利益確定売りに押されたうえ、ダウ平均の構成銘柄から除外される石油のエクソンモービルなどが売られたのも相場の重荷となった旨。

□8月27日(木)

◇Coronavirus roundup: Are schools ready to reopen? These local schools say they are. (SILICON VALLEY BUSINESS JOURNAL)
→3月31日までに該地域の学校は閉鎖され、スクールバスが発着所に駐車のままの旨。

◇NYダウ反発、83ドル高、ワクチン開発の進展好感 (日経 電子版 05:44)
→26日の米株式市場でダウ工業株30種平均は反発、前日比83ドル48セント(0.3%)高の2万8331ドル92セントと半年ぶりの高値で終えた旨。主力ハイテク株が軒並み買われ、相場を押し上げた旨。コロナワクチン開発を巡る好材料も市場心理を支えた旨。ただ、27日のパウエル米連邦準備理事会(FRB)議長の講演を控え、内容を見極めたい投資家も多くダウ平均の上値は限られた旨。

□8月28日(金)

◇NYダウ続伸160ドル高、FRB新指針で景気敏感株上昇 (日経 電子版 05:49)
→27日の米株式市場でダウ工業株30種平均は続伸し、前日比160ドル35セント(0.6%)高の2万8492ドル27セントと約半年ぶりの高値で終えた旨。米連邦準備理事会(FRB)は27日、物価上昇率の目標の2%を一時的に超えるのを容認する新たな政策指針を発表、長期的な低金利政策が見込まれ、米株式市場に資金流入が続くとの見方から買いが膨らんだ旨。

□8月29日(土)

◇NYダウ続伸、161ドル高、昨年末水準上回る (日経 電子版 05:52)
→28日の米株式市場でダウ工業株30種平均は3日続伸、前日比161ドル60セント(0.6%)高の2万8653ドル87セントで終えた旨。2月21日以来、6カ月ぶりに昨年末終値(2万8538ドル44セント)を上回った旨。米連邦準備理事会(FRB)のゼロ金利政策の長期化を背景に、株式市場への資金流入が続くとの思惑から半導体など景気敏感株を中心に買いが入った旨。


≪市場実態PickUp≫

【韓国半導体業界関連】

半導体材料関連の日韓摩擦も1年が経過、その現況である。

◇輸出厳格化1年、半導体素材、韓国生産にシフト (8月24日付け 日経 電子版 02:00)
→日本政府による韓国への輸出管理厳格化から7月で1年が経過した旨。韓国では半導体関連素材で、新工場の設立や政府主導での産業支援など「脱・日本依存」への動きが加速している旨。一方、日本の素材メーカーは韓国での生産増や輸出を円滑に進める仕組みを活用するなどで、韓国メーカーとの取引を継続しようと動いている旨。

韓国の半導体経験者にも中国の食指、これも現況である。

◇To Build a Self-reliant Supply Chain:-Chinese Companies Recruiting Korean Semiconductor Engineers-Chinese firms seek out experienced Korean chip engineers (8月24日付け BusinessKorea magazine online)
→メモリ半導体生産の経験をもつ韓国のエンジニアが、中国の半導体メーカーに採用されている旨。採用会社曰く、「Samsung ElectronicsおよびSK Hynixの前エンジニアには特恵待遇を与える。」

Samsungも、コロナ感染再燃で在宅勤務を認める動きである。

◇Samsung Electronics trials work-from-home as South Korea battles virus resurgence: official-Samsung sets WFH trial as pandemic surges in S. Korea (8月26日付け Reuters)
→韓国が新型coronavirus感染の再燃との戦い、Samsung Electronics Co Ltdが、韓国のあるworkersについてpilot programmeのもと9月にwork from home(WFH)を認める予定の旨。

【Hot Chips 32】

先週オンライン開催の「Hot Chips 32」(2020年8月16〜18日)より、光computeプロセッサ開発のLightmatter、そして全体的なソフトウェア傾斜の動向があらわされている。

◇Optical Compute Promises Game-Changing AI Performance (8月24日付け EE Times)
→AI加速化に向けた光computeプロセッサを開発しているMITのspinout、Lightmatterが、Hot Chips 32にて同社の光compute半導体をプレゼン、latencyおよび電力消費で何桁もの改善が約束される旨。

◇Optical Computing 101: How Does It Work?-With optical devices hitting the headlines for efficient AI accelerators, we ask: how does optical computing work? (8月28日付け EE Times India)
→光computing技術が、AI accelerationに向けて設計された光compute半導体を披露する2つのstartup会社、LightmatterとLightelligence、およびそれに取り組んでいる他少数で勢いを得ている旨。Lightmatterは先週のHot Chips 2020にて、光を用いてデータを計算し運ぶAI photonicプロセッサを披露の旨。

◇Hot Chips 2020 Spotlights Processor Innovations (8月24日付け EE Times India)
→今年のHot Chipsは、例年よりソフトウェアについての話が多かった旨。
トランジスタから設計へ、アーキテクチャーへ、メモリへ、I/Oへ、そして究極的にはソフトウェアへ、山のように積まれるすべての最適化が肝要になろうとしている旨。

【Arm関連】

Armがソフトウェア事業の親会社、ソフトバンクへの譲渡に待ったをかける動きである。

◇Chip designer Arm to pause spin-off of its software units to SoftBank-Arm halts spin-off of software units to SoftBank Group (8月24日付け Reuters)
→半導体会社、Arm Holdings Ltdが月曜24日、同社ソフトウェア事業の2つの親会社、SoftBank Group Corpへの譲渡提案に待ったをかけている旨。
7月にArmは、internet-of-things(IoT)サービスグループの2部門をSoftbankに移転、該移転の9月までの完了を目指している、とした旨。

スーパーコンピュータ春季性能ランキングでトップになった「富岳」には、Armの設計IP(知的財産)使用ということで、同社事業責任者のコメントがあらわされている。

◇英アーム事業責任者「スパコン富岳は世界の課題解決」 (8月24日付け 日経 電子版 02:00)
→富士通と理化学研究所が開発したスーパーコンピュータ「富岳」が世界の計算速度ランキングで日本勢として8年半ぶりの首位となった旨。富岳には英半導体大手、アームの設計IP(知的財産)が使われている旨。同社でスーパーコンピュータなど「インフラ部門」を統括するクリス・バージー(Chris Bergey)・シニアバイスプレジデントとの、スパコンの技術動向などQ&A。

NvidiaのArm買収が取り沙汰されているが、可能性が高まる見方が以下示されている。

◇Nvidia Data Center Growth: Could Buying Arm Be an Ideal Match? (8月25日付け EE Times)
→データセンターが最も重要な事業目標となると、NvidiaおよびArm両方の方向性および命運両方において移行の兆しが見えてくるのではないか?
NvidiaのArm買収が現実味を帯びてくる可能性の旨。

◇Could Nvidia Buying Arm Be an Ideal Match?-With the data center being prime target, Nvidia buying Arm might actually be a natural match... (8月27日付け EE Times India)

【Kioxiaが上場へ】

2018年に米Bain Capitalなど日米韓連合に約2兆円で売却した上で、東芝が一部を出資しているKioxia Holdings(前東芝メモリ)であるが、この10月に東京証券取引所に上場の運びである。

◇Former Toshiba Memory eyes October IPO; will SK Hynix take profit?-Report: Kioxia looks toward October IPO (8月26日付け Korea Economic Daily)
→Nikkei発。Kioxia Holdings(前東芝メモリ)が、東京証券取引所で10月initial public offering(IPO)を予定の旨。同社は現在、SK Hynix含めたBain Capital主導の投資家コンソーシアムが所有、東芝およびHoyaもKioxiaにおけるequity stakesがある旨。

◇キオクシアHD、10月上場へ、時価総額2兆円超 (8月26日付け 日経 電子版 05:29)
→東芝のグループ会社で半導体メモリ大手のキオクシアホールディングス(HD、旧東芝メモリホールディングス)が10月にも東京証券取引所に上場する旨。東証が近く、上場を承認する見通し。上場時の時価総額は2兆円を超え、今年最大の新規株式公開(IPO)の案件になりそうな旨。
東芝はキオクシアHD株を約40%分保有している旨。キオクシアHDは記憶装置に使うNAND型フラッシュメモリで世界2位。東芝は不正会計問題や米原発子会社での巨額損失で経営危機に陥った2018年に米ベインキャピタルなど日米韓連合に約2兆円で売却した上で、東芝が一部を出資していた旨。

【半導体関連市場データ】

SEMIからの恒例、北米半導体装置メーカーの月次世界billings、この7月について以下の通り、力強い今年後半のスタートである。

◇North American Semiconductor Equipment Industry Posts July 2020 Billings-July Jump for Equipment Billings (8月20日付け SEMI)
→SEMIのJuly Equipment Market Data Subscription(EMDS) Billings Report発。2020年7月の北米半導体装置メーカー世界billingsが$2.60 billion(3ヶ月平均ベース)、前月、2020年6月の最終レベル、$2.32 billionを11.8%上回り、前年同月、2019年7月の$2.03 billionを27.6%上回る旨。
「北米半導体装置メーカーには2020年後半は、二桁のbillingsの伸びで力強いスタートとなった。」と、SEMIのpresident and CEO、Ajit Manocha氏。「この力強さは、半導体業界の今日の世界における重要性と長期的業界伸長に向けた推進力を反映している。」

Billings
Year-Over-Year
(3ヶ月平均)
February 2020
$2,374.6
27.1%
March 2020
$2,213.1
21.2%
April 2020
$2,281.3
18.7%
May 2020
$2,343.3
13.5%
June 2020 (final)
$2,317.7
14.4%
July 2020 (prelim)
$2,591.9
27.6%

[Source: SEMI (www.semi.org), August 2020]

MCU(Micro Controller Unit)販売高の2018年から2023年の推移&予測が、IC Insightsにより次の通りである。

◇MCU to make modest comeback after 2020 drop-IC Insights: MCU market to rebound in 2021 to $15.7B (8月27日付け DIGITIMES)
→IC Insightsの世界MCU販売高mid-year予測:

2018年
2019年
2020年
2021年
$17.6 billion
$14.9 billion
$15.7 billion
最高記録
7%減
8%減
5%増

2022年に8%増、2023年には11%増で$18.8 billionと次の最高を予測する旨。

IDCによる今年の世界スマホ市場および今後の見方である。

◇Global Smartphone Shipments Expected to Drop Nearly 10% in 2020, But a Strong 5G Push Is Expected to Bring the Market Back to Growth in 2021, According to IDC (8月27日付け IDC)
→International Data Corporation(IDC) Worldwide Quarterly Mobile Phone Tracker発。2020年の世界スマートフォン市場が、9.5%減の1.2 billion台の出荷見込み。2022年までには完全に回復、5年予測で1.7%のcompound annual growth rate(CAGR)が得られる旨。

自動運転で改めて注目のLiDAR(Light Detection and Ranging)技術の市場展開を、Yoleが予測している。

◇Unit growth but falling ASPs for LiDAR-Yole forecasts LiDAR market growth to $3.8B in 2025 (8月28日付け Electronics Weekly (UK))
→Yole発。LiDAR(Light Detection and Ranging)市場は、数量が増えるものの単価が低下する特徴の旨。2020年〜2025年の間で19%のCAGR、2025年のLiDAR市場全体は$3.8 billionに達する旨。


≪グローバル雑学王−634≫

地球環境問題やエネルギー問題への取り組みと密接に関係して、それを支える半導体技術で世界をリードするために、現状のシリコンを補完するwide bandgap(WBG)半導体の代表、GaN(gallium nitride)の重要性が、

『次世代半導体素材GaNの挑戦−22世紀の世界を先導する日本の科学技術』
 (天野 浩 著:講談社+α新書 825-1 C) …2020年4月13日 第1刷発行

より今回と次回にわたってあらわされている。日本政府の掲げる将来に向けた「ソサエティ5.0」では、すべての人とモノがつながるIoT(Internet of Things)はじめAI(Artificial Intelligence)、ロボットそして自動走行車が経済性、利便性そして可能性を広げていくとし、ここではとりわけIoT社会を維持するための「IoE(Internet of Energy)」の重要性が強調されている。様々な場所で、用途に応じて最適なエネルギー供給手段を提供できるようにするには、大電力を扱うことが得意なWBG半導体が必要であり、GaNがその1つという脈絡である。GaNが秘める巨大な潜在能力を引き出すことができれば、青色LED以外の分野でも、社会に貢献できるとの今後に向けた取り組みが展開されていく。


第二章 次世代半導体で世界をリードするために …前半

■「ソサエティ5.0」の日本は
・政府の10年先を見通した「第5期科学技術基本計画」
 →「ソサエティ5.0」の実現に向けた取り組みの強化を発表
→「ソサエティ5.0」…狩猟社会、農耕社会、工業社会、情報社会に続く新たな社会
・次の4つの社会の実現を目標に
 1) IoT(Internet of Things)ですべての人とモノがつながり、新たな価値が生まれる社会
 2) イノベーションにより、様々なニーズに対応できる社会
 3) AI(Artificial Intelligence)により、必要な情報が必要な時に提供される社会
 4) ロボットや自動走行車などの技術で、人の可能性が広がる社会
・グローバルな視点で見ると、地球環境問題やエネルギー問題への取り組みとも密接に関係
 →エレクトロニクス技術や、特に半導体技術は、欠かすことのできない重要な分野

■IoTとIoEの要となる技術
・IoT社会でネットワーク化されるあらゆる機器には半導体が搭載
 →半導体技術は、IoT社会を実現するための基幹技術
・現在の情報化社会を生み出した主役ともいえる素材、それはシリコン
 →シリコンの不得意なところを補うのに「ワイドバンドギャップ(wide bandgap)半導体」と呼ばれる次世代半導体材料による素子が必要に
・安定的なIoT社会を維持するための「IoE(Internet of Energy)」が重要
 →様々な場所で、用途に応じて最適なエネルギー供給手段を提供
 →大電力を扱うことが得意なワイドバンドギャップ半導体が必要に
・GaNは、ワイドバンドギャップ半導体の1つ
 →今後の社会に対して大きな貢献ができると考えている

■IoTで実現できること
・「M2M(Machine to Machine)」は、広い意味でIoTと同様だと捉えられるように
・今後、5Gの環境が整えば、さらにネットワークにつながるものが増え、クラウドに蓄積される情報の種類と量も桁違いに増加
 →省エネルギーや温暖化ガス排出削減につながる新しい解決方法や取り組みが生まれることが期待

■太陽光発電の弱点を補うシステム
・IoE(Internet of Energy)は、あらゆる場所でエネルギーが得られるような環境を表わす意味合い
 →IoEのネットワークは、相互に情報を共有しつつ連携した運用が必要になる高度なエネルギーシステム
・東日本大震災以降、我が国の化石燃料に依存する火力発電の割合は、62%から88%に急増
 →電源構成を変えていく必要
・再生可能エネルギーの最も大きな問題点は、発電量が安定しないこと
 →電力網を安定的に運用するには、エネルギーサーバが必要に
・昨今、EVの普及に期待が集まっている理由の1つ
 →走行中のCO2排出量削減と同時に、エネルギーサーバとしての役割の期待
 →EVが普及し、さらに走行中にワイヤレスで充電ができる仕組みが実現したら、問題は一気に解消
→供給過多になった電力は、街中のEVのバッテリーに貯めれば良い
 →ワイヤレス電力伝送技術が組み込まれたIoE社会に期待

【コラム3】デジタル技術とアナログ技術
・IoEに欠かせないデジタル技術とアナログ技術
 →私たちが直接扱う情報は、目で見る映像も、耳で聴く音も、基本的にはアナログの情報
 →動力やエネルギーも、アナログの物理量として利用
 →電源回路や電波を送受信する通信回路にもアナログは不可欠
 →IoTの物理的な情報の入り口や出口には、やはりアナログ技術が使われる

■GaNが秘める巨大な潜在能力
・IoT社会をエネルギー面で支えるIoEには、パワーエレクトロニクス技術が必要に
 →電力用半導体素子や大電力増幅半導体素子
 →パワーコンディショナー、インバータ回路、電力増幅器などに不可欠
・シリコンよりも素子の電気抵抗を小さくできるGaNを含む、ワイドバンドギャップ半導体によるパワーデバイスの実用化の期待
 →GaNの他に、シリコンカーバイドやダイヤモンド、そして酸化ガリウム(Ga2O3)
 →これらすべての素材で研究を続ける研究者群が数多く存在するのが、日本の強み
・それぞれの材料の特長に着目して研究
 →GaNが秘める巨大な潜在能力を引き出すことができれば、青色LED以外の分野でも、社会に貢献できる
・今後、最もビジネスで成功する可能性が高いのは、AIやIoTを動かすエネルギー分野
 →いま私たちが頑張れば、必ずや世界をリードできると確信

月別アーカイブ