セミコンポータル
半導体・FPD・液晶・製造装置・材料・設計のポータルサイト

新技術の両雄ここに、インテルの14-nm、IBMの"TrueNorth"

半導体技術・市場の進展を追っていくなか、ここ数年どうしてもモバイル機器の動向に目が行かざるを得ないところがあるが、草創期からの半導体の世界の両雄、両巨人と呼ぶに相応しいインテルとIBMから次世代を切り拓く新技術が発表され、市場から期待感のこもった反応が早々に相次いでいる。最先端プロセスで着実に世界を引っ張っているインテルからは、注目の14-nmプロセスであり、IBMからは同社Cognitive Computing(認識するコンピューティング)に向けた人間の脳の働きをまねるというプロセッサである。

≪牽引する開拓スピリット≫

インテルからの発表の概要が、次の通り示されている。

◇Intel Outlines 14nm, Broadwell (8月11日付け EE Times)
→Intelが、今やOregon fabで量産に向けて品質認定されている同社14-nmプロセス技術について最初の詳細説明、そしてそれを用いての最初のCPU、Broadwellについてこっそりの範囲披露の旨。self-aligned double-patterning lithographyを用いる積極的なarea scalingのお蔭で、同社14-nmプロセスからは同社22-nmノードよりもトランジスタ当たりコストが低くなる旨。Broadwell製品の詳細は、来月のannual developer forum(IDF:San Francisco)までとっておくが、14-nm FinFETsのいくつかのスペックをIntelの22-nmプロセスと比べて示すと次の通りの旨。
・42nm fin pitch, down .70x
・70nm gate pitch, down .78x
・52nm interconnect pitch down .65x
・42nm high fins, up from 34nm
・a 0.0588 micron2 SRAM cell, down .54x
・~0.53 area scaling compared to 22nm

同時の各社それぞれの表わし方である。14-nm Broadwellプロセッサ半導体、通称"Core M"となっており、さらに進んだ超薄、軽量のパソコン、そしてfanlessタブレット、Ultrabooksが以下の通り見えてきている。

◇Intel to push Broadwell into fanless tablets thinner than iPad-Intel offers details of "Broadwell" processors (8月11日付け Network World/IDG News Service)
→Intelが月曜11日、"Broadwell"チップセットの詳細披露を開始、今年出荷予定の該低電力プロセッサは、ultrabooksなどportable機器向けに最適化、そこでは"Core M"の名前で通る旨。

◇Intel aims next-gen 14nm 'Broadwell' technology at fanless tablets, Ultrabooks (8月11日付け PCWorld)

◇Intel Details 14-Nanometer Chip Aimed at Tablets-Intel Core M Chip Based on New Production Process (8月11日付け The Wall Street Journal)

◇Intel, Moore's Law Still Ticking With 14nm Broadwell Chips -Intel's latest batch of "Core M" computer chips are designed for fanless tablets and 2-in-1s. (8月11日付け PC Magazine)

◇Intel unwraps Core M chip that lets PCs run sans fans-The new 14 nanometer processor, codenamed Broadwell, allows for computers that are less than 9 millimeters thick, about a third the thickness of PCs from 2010. (8月11日付け CNET)
→Intelが月曜11日、Broadwellとしても知られる新プロセッサ、Core Mを正式に公開、PCメーカーがずっと薄く軽い機器を作るようにする旨。

◇Intel introduces Core M - its first 14nm Broadwell chip (8月12日付け PCPro.co.uk (U.K.))

今回の発表について、改めて意義を評価する以下の内容である。

◇Intel vs. Intel (8月12日付け EET Blog)
→MonolithIC 3D社、Zvi Or-Bach氏記事。8月11日のIntelの14-nm技術情報リリースを歓迎、14-nmプロセスノードがやってきている様相の旨。このリリースは、コスト削減および寸法scalingの歴史的な流れを続けるためにIntelがプレゼンしており、疑いなくIntelの14-nm技術は意義深い科学技術の達成であり、全く敬意と評価に値する旨。しかし、この情報に細かく入ってみると、明確にしたい余地がある旨。

IBMからは、インテルより少し先立って以下の概要で発表され、こちらはIBMのexpertise発揮のSamsung Electronicsによる製造である。

◇IBM Puts Brain On-a-Chip (8月7日付け EE Times)
→最も頭脳に似たcomputer半導体が、IBMによりDefense Advanced Research Project Agency(DARPA)のSystems of Neuromorphic Adaptive Plastic Scalable Electronics(SyNAPSE)プログラムに向けてCornell TechおよびiniLabs, Ltd.とのコラボで作られている旨。

◇IBM Unveils Chip Simulating Brain Functions-Tech Giant Claims Microchip Is a Sharp Break From Traditional Chip Design -New IBM chip takes the brain as its model (8月7日付け The Wall Street Journal)
→人間の頭脳のneurons, synapsesなどの特性が、新しいIBMプロセッサ用のモデルとなっている旨。該半導体は、数10年の間半導体設計で用いられているVon Neumannアーキテクチャーに従っていない旨。該低電力プロセッサは、Samsung ElectronicsがIBM向けに製造の旨。

◇IBM's Brain-Inspired Computer Chip Comes from the Future (8月7日付け IEEE Spectrum)

さらに詳細な中身、そして応用が、時間を置いて以下の通り表わされている。Cognitive Computingの今後の展開に注目である。

◇5 Ways IBM's Smart Chip Will Revolutionize Technology -How IBM's "TrueNorth" processor could change things (8月11日付け Inc. online)
→人間の脳の働きをまねるというIBMの"TrueNorth"プロセッサは、cloudサービス, 運転者支援, および不正盗難防止に役立つ可能性の旨。「これらneuro-typeアーキテクチャーは、複雑な分類の仕事を行うのに非常に良い」(該半導体開発を支援したCornell TechのRajit Manohar教授)旨。可能性のある応用5点:
 -To Catch a Thief
 -A Doctor and Lifeguard
 -Parking and Credit Assistance
 -The Next Watson
 -A Smarter Cloud

◇IBM builds network of neurons, synapses into 28nm chip (8月11日付け EE Times India)
→IBMが、1 million個のartificial neurons(brain-like cells)および256 million個のsynapses(storage cells)から成るbrain-like回路を集積する半導体を、Defense Advanced Research Project Agency(DARPA)のSystems of Neuromorphic Adaptive Plastic Scalable Electronics(SyNAPSE)プログラム向けに構築の旨。該brain-inspiredプロセッサは、認識半導体アーキテクチャーを取り入れ、4,096個のneurosynapticコアを特徴とし、event-driven, parallel, およびfault-tolerant風に動作する旨。該半導体は5.4 billion個のトランジスタがあるが、real-time動作の間の消費電力が僅か70mWの旨。


≪市場実態PickUp≫

【インド半導体市場関係】

なかなか具体化していないインドの半導体工場建設であるが、最初のfab製造拠点をグジャラート州(Gujarat)に置くという記事が見られている。さらにインド半導体業界の長期的展望が表わされている。

◇Prantij to house state's 1st semiconductor wafer unit-Wafer fab will be built in India's Gujarat state (8月11日付け The Times of India)
→インドの北西部にあるグジャラート州(Gujarat)にインド最初の半導体ウェーハfab製造拠点が得られる運び、2017年後半までにSabarkantha地区のPrantijの旨。Hindustan Semiconductor Manufacturing Corporation(HSMC)が設立する予定の該拠点は、直接従業員4,000人など25,000人以上を雇用する旨。昨年9月、インド政府中央政府は国内2つの半導体ウェーハfab製造拠点の設立を承認している旨。

◇The growing semiconductor market in India (8月14日付け ELECTROIQ)
→Research and Marketsの最新レポート、“Semiconductor Market in India 2014 - 2020”発。インドの半導体業界は、2013年の$10.02 billionから2020年には$52.58 billionに、26.72%のCAGRで伸びると見られる旨。現在の半導体業界は100%輸入であり、2013年にはインドは$10 billion相当の半導体を輸入している旨。

【TSMC関連】

TSMCの7月売上げが最高を記録、Apple対応はじめ旺盛な需要対応から本年第四四半期まで生産capacityが満杯、と連日の活況の記事となっている。じっと待つか、他のファウンドリーに向かうか、苦渋の状況が見られている。

◇TSMC reports new high for July and it expects record Q3 (8月9日付け Taipei Times)

◇TSMC July revenues hit all-time high (8月11日付け DIGITIMES)
→TSMCの7月売上げがNT$64.93 billion($2.16 billion)と最高を記録、前月比7.6%増、前年同月比24.6%増。業界筋では、TSMCは2014年第三四半期の売上げがNT$206-209 billionに達すると見積もっており、8月、9月ともにNT$70 billionを越える勢いにあると見ている旨。

◇TSMC approves new capex spending for advanced capacity expansion (8月12日付け DIGITIMES)
→TSMCが、先端capacity拡大、あるロジックcapacityのspecialty技術への転換、建屋&設備取りつけ並びに先端実装&組立のcapacity setupに向けてNT$91.03 billion($3.05 billion)の適用を承認の旨。

◇More IC vendors seeking wafer production capacity at foundry houses other than TSMC-Sources: Chip firms turn to other foundries with TSMC booked (8月12日付け DIGITIMES)
→業界筋発。TSMCでの非常に余裕のない生産から、一層多くのファブレスIC design housesが、Samsung Electronics, Globalfoundries, UMCおよびSMICでのウェーハ生産capacityを探し求めている旨。TSMCがApple向け20-nm半導体並びにiPhone, iPadおよびiWatch用の他の周辺半導体の量産を開始、TSMCの8-および12-インチウェーハの生産capacityは現在完全に埋まっている旨。

◇TSMC 4Q14 production capacity almost fully booked (DIGITIMES)
→業界筋発。TSMCが、2014年第四四半期の生産capacityがほぼ完全に埋まっていると台湾のIC design housesに知らせており、ウェーハ発注前倒しを急がせている旨。TSMCでの第三四半期についてのウェーハ供給が逼迫している一方、TSMCでの第四四半期のほとんどsold-outのウェーハ生産は、TSMCでcapacityの順番待ちをすべきかどうか大方のIC design housesをジレンマに陥らせている旨。

◇Taiwan semiconductor supply chain to be affected by Apple CPU orders (8月15日付け DIGITIMES)
→業界筋発。TSMCのApple CPUsおよび関連周辺半導体の生産が台湾の半導体業界のsupply chainに対するインパクトが2015年に広がって、IC design housesがウェーハ発注をその年一層早くに行わざるを得なくなる旨。TSMC は、各年第二四半期に受注が上がり始める前に、第一四半期のLunar New Year holidaysの間に年次maintenanceを通常行っている旨。ウェーハ受注は代表的に第三四半期にピークとなり、第四四半期に低下していく旨。

【逆風下のサムスン】

TSMCと対照的に厳しい事業環境になっているのがサムスンであり、handset市場で中国、インドともに地場メーカーに首位を奪われる結果となっている。以下の韓国紙の表現は繰り返す歴史を髣髴させるものがある。

◇サムスン凋落鮮明…中印で失速、携帯出荷数、相次ぎ首位転落 (8月12日付け SankeiBiz)
→アジアの新興国の携帯電話市場で韓国サムスン電子の退潮が鮮明になってきた旨。直近四半期は中国スマートフォン市場で小米科技(シャオミ)、インド携帯電話販売ではマイクロマックスという地元勢にそれぞれ首位を奪われた旨。

◇【時視各角】サムスン電子のシャオミショック (8月13日付け 韓国・中央日報)
→最近、「シャオミ(小米)ショック」で業界がまた騒々しくなっている旨。
「粟」という意味の中国スマートフォン企業、シャオミが中国市場でサムスン電子を引き下ろして1位になったという、ここからサムスン電子危機論は表面化している旨。中国企業が恐ろしいとはいえ、コピー競争力で市場をリードすることはできない旨。市場がサムスン電子に期待するのは創意的リーダーの道、10年前の度胸と覇気をもう一度見てみたい旨。

【Hot Chipsから】

Hot Chips conference(2014年8月10-12日:Flint Center, Cupertino, CA)より、IoT機器の動力エネルギー、検索サービス用サーバでのFPGA活用、バイオ半導体、かつてのセガのゲーム機でのプロセッサの復活、とこれが当世風か、多彩な以下内容である。

◇Energy harvested from body, environment could power wearables, IOT devices-Energy harvesting technologies will work for really low-power wearables, not power-hungry smartwatches-Batteries not needed: Wearables could get power from energy harvesting (8月11日付け Techworld (U.K.)/IDG News Service)
→外周の光、身体の熱および動きが、完備した電池よりむしろwearable gadgetsおよびInternet of Things(IoT)機器の動力エネルギーを供給する可能性、solar powerも別のエネルギー源となり得る旨。Gartnerは、この10年の終わりまでにInternet-connected機器が26 billion台になると見ている旨。

◇'Biochip' aims to quicken disease diagnosis, cut medical test costs-The Hydra-1K will make it easier to recommend drugs for a disease-Startup develops a biochip for diagnosing diseases (8月12日付け Techworld (U.K.)/IDG News Service)
→startup、InSilixaのfounder and CEO、Arjang Hassibi氏プレゼン。医務室あるいは臨床で使える新しいシリコン"biochip"、Hydra-1Kによると、病気が即座に分析でき、処置を決められる旨。

◇M'soft Plugs FPGAs in Datacenter-China's Baidu adopts FPGAs, too (8月12日付け EE Times)
→Microsoftが来年、同社Bing検索サービスに向けて運用する新サーバにAltera FPGAカード接続を開始、いつかはこのようなカードを同社データセンターにおけるmillionサーバの標準部品にする可能性の旨。

◇Microsoft, Baidu find speedier search results through specialized chips-Search-engine firms turn to custom chips (8月12日付け PCWorld)
→MicrosoftおよびBaiduがともに、それぞれの検索エンジンの性能を速めるために如何に自らのプロセッサ半導体の設計を決めたか、今回の場でプレゼンの旨。Microsoftは、同社Bing検索エンジンに向けAltera Stratix V G5 D5 field-programmable gate array(FPGA)を用いてサーバ用Catapultボードを製作、BaiduもFPGAを用いてXilinx K7 480t-2l半導体搭載ボードを設計している旨。

◇Microsoft taps FPGAs to speed up Bing (8月14日付け EE Times India)

◇Nonprofit to bring Sega game console chips back to life-The chips could be used in small electronics or do-it-yourself projects-Foundation will revive Hitachi chip designs for the Sega game console (8月13日付け ITWorld.com/IDG News Service)
→1990年代にセガの名高いゲーム機のいくつかに搭載されたプロセッサが、今年後半から息を吹き返す旨。新設のOpen Core Foundationが、10月に日立半導体の旧いCPU設計を再導入したいとしている旨。その目標は、製品開発に関係するcommunityに低コスト、open-source CPUコアを供給することである旨。

【中国のファブレス】

中国のファブレス半導体メーカーを巡る動きが以下の通り見られている。半導体業界の強化を目指す中国政府からの資金になびく現実的な対応が、新たなフェーズとして表面化してきている。

◇Actions to Split in Two, Break Up With Nasdaq (8月11日付け EE Times)
→EE Timesの知るところ、ファブレス半導体メーカー、Actions Semiconductor Co. Ltd.(Zhuhai, China[珠海・広東省])が、2つに分かれて、Nasdaq上場を廃止する計画である旨。

◇China Fabless Go 'Private' to Gain Public Funds-China fabless evolution enters phase 2-Fabless firms in China find an eager buyer: The government (8月13日付け EE Times)
→米国投資communityからの情はほとんど感じない一方、半導体業界を強化したいとする中国政府の高額の手当てに引きつけられて、中国のファブレスメーカー数社が、Nasdaqを見捨てquasi-state enterprisesとして売り切っている旨。Spreadtrum CommunicationsおよびRDA Microelectronicsは、中国政府の熱望を利用、Montage Technology of Shanghaiは別の中国ファンドが買収、今秋取引完了予定、Actions Semiconductorはまだ買収元は現われてはいないが、これら先例に従う可能性の旨。中国ファブレス進化の第2フェーズと呼べる旨。


≪グローバル雑学王−319≫

アメリカが総力を挙げてビンラディンを追いつめる過程を見たが、その根は脈々と生き続けており、記憶に新しいアルジェリア人質事件、そしてボストンマラソンテロ事件と、次世代アルカイダと目される組織が起こした中身、背景について、

 『国際メディア情報戦』  
  (高木  徹 著:講談社現代新書 2247) …2014年1月20日 第1刷発行

より認識を深めていく。彼等のネットマガジン、「インスパイア」が、アメリカ国内含め同志を募る魅惑的な内容構成になっているとのこと。ボストン事件の犯人であるツァルナエフ兄弟も、この記事を読んでいることが裁判で明らかになっているとしており、まさにいまこの瞬間も世界で繰り広げられる情報戦の様相である。


第5章 さまようビンラディンの亡霊 −次世代アルカイダ

1 アルジェリア人質事件

□アルカイダのフランチャイズ
・オバマ大統領の二期目、任期早々に大事件が続けて発生
 →日本人が被害者となったアルジェリア人質事件
 →ボストンマラソン・テロ事件
・人質事件は、フランス軍によるアルジェリアの隣国、マリへの軍事介入がきっかけとなった可能性
 →アルカイダ系と目されるイスラム過激派組織、「アンサル・ディーン」の支配下
 →早速開かれた国連安保理でも珍しく各国が一致してフランスの行動をバックアップ

□さまようビンラディンの亡霊
・マリを巡る動き
 →嚆矢(こうし:物事の始まり)となったのは、世界的な民族音楽の歌手の追放というニュース
 →女性歌手、ファディマータ・ワレット・ウマル(Fadimata Walett Oumar)さん
・アーティストやアスリートは、現地の悲惨な状況をはじめに伝える「アイコン」に
・文化遺産の破壊は大きなメッセージとなり、メディアへの強い訴求力を持つことが
 →過去の偉大なイスラム宗教指導者の「霊廟」の破壊
 →2001年3月、タリバンによるアフガニスタンのバーミアン大仏の爆破
・マリにおける霊廟破壊
 →国際社会から見てその危険性は計り知れないと判断
 →フランス軍の介入に
・ビンラディンが死んでも、アルカイダは生き残り、「情報戦」と実際のテロを並行させて進めている
 →国際社会はさらに強い態度で彼らに対峙

2 ボストンテロ事件

□ボストンテロ事件の報道合戦
・2013年4月15日、ボストンマラソンを襲った爆弾テロ事件
 →CNNや三大ネットワークの記者たちはじめ、アメリカの報道の過熱ぶり

□「マンハント」の興奮
・いくつかの「バズワード」が連続的に登場
 →まず事件から数日間、「マンハント」(manhunt:人狩り)
・監視カメラから兄弟を特定、警官1名を射殺したあと警官隊と銃撃戦に
 →兄は射殺、弟は銃と爆弾を所持して逃走
 →公共の交通機関をすべて停止、市民にも外に出ないように呼びかけ
  →ボストン全体が「死の街」のように
・事件から4日後に、銃弾をのどに浴びて血だらけの弟も逮捕
 →ボストン市警がツイッター、日本の警察では考えられないような「勝利宣言」のつぶやき

□兄弟はなぜ「過激」になったのか
・兄弟が確保されて出てきたバズワードが「ラディカライゼーション」(過激化)
 →兄タメルランがここ数年イスラム過激思想に傾倒、弟はその兄に引きずり込まれるように事件に参加した
・アメリカのメディアは「なぜ彼らがテロに走ったか」という問いの答えを執拗に追及
 →答えるには、2つの方向性
・一つは、国外に出た時に何者かの影響を受けてテロリストになり、アメリカに帰ってきて事件を起こした、という考え
 →バズワード「チェチェン・コネクション」
 →兄弟の父はチェチェン人、母はその隣のダゲスタン共和国の生まれ
 →ロシアの大勢とは言葉(北カフカス語系)も宗教(イスラム教)も、したがって民族も違う地域
・もともと血なまぐさいイメージのあるこの地域
 →テロのニュースでお馴染みのキーワードが揃う状況

□アメリカのメガ集団心理
・兄の方で死亡したタメルラン容疑者
 →事件の前年、両親が住むダゲスタン共和国に
 →武装組織のリーダーのプロパガンダビデオを、自らのフェイスブックにリンク
 →父親のルーツがある隣のチェチェン共和国も訪れる
・ロシアの情報機関は2011年にすでに、FBIとCIAに、タメルラン容疑者は危険な思想を持っているかもしれないと警告
・あのテロは、「ホームグロウン」ではない、「チェチェン・コネクション」から来たもの、とわかれば少しホッと
 →アメリカ人がお互いに、すべての隣人を疑わなければならないようなことにはなっていない
 →視聴者全体の「メガ集団心理」

□情報操作のかすかなにおい
・タメルラン容疑者がダゲスタンに戻っていた半年間に注目せよ、という発言が政府当局や、その周囲にいる人々から続いた
 →この指摘はアメリカ当局にとっては諸刃の剣
 →テロのサインとしてキャッチできなかった「怠慢」を批判される危険
・チェチェン紛争は民族独立の戦いでロシア当局がそれを抑圧
 →チェチェンは世界にテロを輸出する危険なイスラム過激派の温床、それを取り締まるのがロシア政府
 →ならば、世界は文句なくロシアを支持
・国際的発信のすべのほとんどないダゲスタンやチェチェンの人々が、なすすべもなくそのイメージを悪化させてしまったという現実
・その一方、兄弟をテロに追いやったのは「チェチェン・コネクション」とは限らない、という見方も
 →そこで登場するバズワードが、いまやアメリカですっかり有名になったインターネットマガジン「インスパイア」
  →「ビンラディンの後継者」と呼ばれたアンワル・アウラキが創始

3 オープンソース・ジハード

□次世代アルカイダのネットマガジン
・いまや国内のテロ犯による脅威にアメリカは直面
 →「ホームグロウン」「ローンウルフ」
 →重要な役割を果たしたとして浮上してきたのが「インスパイア」
・「インスパイア」は、すべてが英語
 →ダウンロードして印刷し綴じれば、全ページカラーで数十ページの雑誌に

□オープンソース・ジハード
・「創刊号」が現れたのが2010年6月
 →2013年末時点で「第十号」まで
・さまざまな記事の狙いは常に一つ
 →アメリカ国内にいる仲間に語りかけ、自ら武器を調達してテロを起こすよう「インスパイア」すること
・特徴は、読者に親しみ深さを感じさせるさまざまな工夫であり、インタラクティブ性

□もはや根絶は不可能
・犯人であるツァルナエフ兄弟も「インスパイア」の記事を読んでいたことが進行中の裁判で明らかに

月別アーカイブ