Symposium Schedule

(The program is subject to change)

- Schedule of Presentations and Speakers
- AEC/APC Symposium Asia 2015 Poster Session

Schedule of Presentations and Speakers

9:15 Registration Starts / Door Open
9:30 Opening Remarks from AEC/APC Asia
Hidetaka Nishimura
Renesas Electronics
9:35 Program Outline
Hidenori Kakinuma
Toshiba
Session Chair: Takashi Kurosawa, Azbil
9:40
Tutorial Speech-1
Tutorial on Applying the VM Technology for TFT-LCD Manufacturing
Dr. Fan-Tien Cheng
National Cheng Kung University
Session Co-chairs: Hisato Tanaka, Tokyo Electron / Tomoya Tanaka, TowerJazz Panasonic Semiconductor
10:40 [MC-O-30] Prediction and Stabilization of MOSFET Threshold Voltage by VM-APC using Factory Data
Takayuki Uemura
Sony Semiconductor
View Abstract
11:00 [MC-O-39] Chamber matching of etching rate in HDP-CVD equipment
Yoshiyuki Nakao
Mie Fujitsu Semiconductor
View Abstract
11:20 [MC-O-23] Predictive Control by Whole Process PLS Model in MEMS Fabrication
Tomohiro Yoshimura
OMRON
11:40 [PO-O-12] New Equipment Monitoring Method Using Impedance Measurements
Yosuke Inoue
Renesas Semiconductor Manufacturing
View Abstract
12:00 Lunch Break & Supplier Exhibition
Session Chair: Yuki Takayama, DISCO
12:50 Introduction for Posters (3mins Summary Presentation)
Session Chair: Yuki Takayama, DISCO
13:20
Tutorial Speech-2
The new direction for providing effective data for EES from sensors and digital controllers
Eisuke Toyoda
Azbil
Session Co-chairs: Koichi Sakamoto, Tokyo Electron / Toshiya Hirai, Sony Energy Devices
14:05 [MT-O-18] Improvement of Thickness variation and Productivity in LP-CVD process by APC system
Masaki Ueda
Toshiba
View Abstract
14:25 [MT-O-45] Diagnosing Etch Chamber State Using RF Signals
Masao Ito
Lam Research
View Abstract
14:45 [MT-O-32] Advanced FDC Method using EWMA
Yuko Jisaki
Panasonic Industrial Devices Engineering
View Abstract
15:05 [MT-O-19] The Detection of Process Variation Synchronous within Wafer Variation Pattern
Masanobu Higashide
Renesas Electronics
View Abstract
15:25 Supplier Exhibition / Coffee Break
Session Chair: Hirofumi Tsuchiyama, Renesas Semiconductor Manufacturing
15:50
Keynote Speech
Perspective on required packaging technologies for cognitive computing devices
Yasumitsu Orii
IBM Research Tokyo
Session Co-chairs: Takahiro Tsuchiya, Mie Fujitsu Semiconductor / Hirofumi Tsuchiyama, Renesas Semiconductor Manufacturing
16:20 [MT-O-37] Etch Run-to-Run Controller Optimization with ProcessWORKS
Yulei Sun
Rudolph Technologies
View Abstract
16:40 [MT-O-22] Pad Crack detection by APC @ Aluminum Wire Bonding
Dr. Michael Brüggemann
Infineon
View Abstract
17:00 [DA-O-31] Data driven modeling based on OES sensor for abnormal Vth monitoring in the OLED display process
Jeong Jin Hong
Samsung Display
View Abstract
17:20 [DA-O-34] Applications of machine learning and data mining methods for advanced equipment control and process control
YiFan WANG
University of Tsukuba
View Abstract
17:40 [MT-O-41] Development of alignment method for ununiform deformed board by reticle free exposure apparatus
Masahiro Nagano
Kumamoto University
View Abstract
18:00 Closing
18:10 Reception (Poster Session / Author's Interview / Supplier Exhibition)
19:30 Closing Remarks & Best Poster Award & Best Paper Award and Student Award
Hidenori Kakinuma
Toshiba

Short Presentation for interactive poster session (12:50-13:20)

Poster
Introduction for Poster
[MT-P-36] Detection of wrong assembly in equipment maintenance with EES data
Daisuke Tokiwa
Toshiba
View Abstract
[MT-P-21] Pulse Photo-Conductivity Method applied to Contact-less Testing for LSI
KOJIRO SHIMIZU
Kumamoto University (Graduate School of Science and Technology)
View Abstract
[MT-P-40] Real time contamination monitoring device development in the gas/chemical delivery line
Hong, Joo-Pyo
KOREATECH
View Abstract
[BA-P-25] Systematic Approach of APC to Enable Early Detection of Abnormal Pressure for TPMS Tire Pressure Monitoring Systems BE Testing Process
Teh Hui Fen
Infineon
View Abstract
[BA-P-42] The new method developed by the correlation method of monitoring minute particles in space
Toshiaki Tanaka
Kumamoto University
View Abstract
[BE-P-38] A Practical Position Estimation for Semiconductor Chip Mounter
Shinji Ueyama
Samsung
View Abstract
[CM-P-13] A Multi-step Wafer-level Run-to-Run Controller with Sampled Measurements for Furnace Deposition and CMP Process Flows
Yulei Sun
Rudolph Technologies
View Abstract
[DA-P-44] Advanced Trace Analytic Improves Root Cause Analysis for Yield Improvement
Tom Ho
BISTel America
View Abstract