PROGRAM SCHEDULE

ISSM2018-Program-At-A-Glance
ISSM2018 Program Schedule at-a-glance(as of Dec 3rd)

ISSM2018 Abstract

Monday, December 10

Mon, Dec 10 | Tue, Dec 11 | Interactive

Room 1 : KFC Hall
Plenary Session

8:15 Registration
8:45 Opening
9:00 Tutorial Speech
Discussion on LSI Configurations and Performances from Process to Upper Levels

Prof. Hitoshi Wakabayashi, Tokyo Institute of Technology
9:40 Tutorial Speech
AI; Impact to Semiconductor industry

Kenji Tsuda, Semiconductor Portal
10:20 Break
10:30 Special talk Session
Intelligent Manufacturing in Semi-conductor fabs

Robert Chien, tsmc
11:10 Lunch Break
12:00 Keynote Speech
Yet Another Leap Forward with Intelligent Semiconductor Manufacturing

Dr. Atsuyoshi Koike, Western Digital Corporation / Western Digital Japan
12:40 Keynote Speech
Reshaping the Semiconductor Industry with IoT

Yuzuru Utsumi, ARM
13:20 Break

Room 1 : KFC Hall
Session : Artificial Intelligence (AI)-1 & Invited

13:30 INVITED
FPGA Technology Trend using Patent Association Analysis

Masakazu Takahashi, Masashi Shibata and Kazuya Okamoto, Yamaguchi University
13:50 PC-O-35 : Machine Learning Approaches for Process Optimization
Yusuke Suzuki, Tokyo Electron Technology Solutions
14:10 PC-O-55 : Anomaly Detection for Semiconductor Tools Using Stacked Autoencoder Learning
CHIEH-YU CHEN, National Taiwan University
14:30 PC-O-65 : Virtual Metrology Model Robustness Against Chamber Condition Variation by Using Deep Learning
Takuro Tsutsui, Tokyo Electron
14:50 PO-O-20 : Materials Informatics for Process and Material Co-optimization
Fumiaki Tanaka, Tokyo Electron
15:10 PO-O-40 : Applying Machine Learning for COA data analytics of raw materials on Semiconductor Manufacturing
Chien Hui Leu, Powerchip Semiconductor
15:30 Author's Interview & Break

Room 1 : KFC Hall
Session : Artificial Intelligence (AI)-2 & Process Control and Monitoring (PC)-1

15:50 YE-O-54 : A CNN-based Transfer Learning Method for Defect Classification in Semiconductor Manufacturing
Kazunori Imoto, Toshiba
16:10 eMDC-O-01 : < eMDC2018 Best Paper > Recurrent Reinforcement Learning for Predictive Overall Equipment Effectiveness
Prof. Shi-Chung Chang, National Taiwan University
16:30 PC-O-36 : Auto Threshold Management after Equipment Maintenance
Hidetoshi Shinozaki, Mie Fujitsu Semiconductor
16:50 PC-O-43 : An Advanced Fault Detection Method for Post-CMP Brush Scrubbers
Yohei Hamaguchi, Renesas Semiconductor Manufactureing
17:10 Author's Interview & Break

Room 1 : KFC Hall
Session : Final Manufacturing (FM)

17:30 FM-O-19 : Development of Half-inch FOWLP Process Line utilizing Minimal Fab
KENJI MIYAKE, PMT
17:50 FM-O-34 : Design of underfill materials for the latest package
OSAMU SUZUKI, NAMICS
18:10 Author's Interview & Break
18:30 Welcome Reception

Room2: KFC Hall Annex
Session : Manufacturing Control and Execution (MC)

13:30 MC-O-9 : Equipment Productivity Variability: throughput impact analysis
Marco Velasco PINNA, ST Microelectronics
13:50 MC-O-18 : Logistics Management Innovation, RFID Application on Intelligent Management of Valuable Assets
Yong Ching Lin, UMC
14:10 MC-O-30 : Precise Positioning System and Potential Application in a SMART Fab
Chih Ming CHAN, Global Foundries
14:30 MC-O-32 : Unveil the black box for performance efficiency of OEE for semiconductor wafer fabrication
Chih Min Yu, YouThought
14:50 MC-O-52 : Real-time Allocation of Multi-Type Production Resource with Due Date grouping for MTO Manufacturing
Motoharu TANAKA, University of Tsukuba
15:10 MC-O-59 : Optimization of Multi-type Resource Allocation for MTO-MTS mixed production
Hiroyuki MOTOMIYA, University of Tsukuba
15:30 Author's Interview & Break

Room2: KFC Hall Annex
Session : Yield Enhancement Methodology (YE)

15:50 YE-O-60 : Using Full Trace Analytics to Simplify Root Cause Analysis
Tom Ho, BISTel
16:10 YE-O-68 : Real Time Measurement of Exact Size and Refractive Index of Particles in Liquid by Flow Particle Tracking Method
Takuya Tabuchi, Rion
16:30 YE-O-28 : Inline scratch defect detection at post CMP step in FinFET device processing
Mohammed Imrul Hossain, Samsung Austin Semiconductor
16:50 YE-O-46 : Realization of skeleton wafer testing for electrical failure analysis
Hiroshi Yanagita, Renesas Electronics
17:10 Author's Interview & Break

Room2: KFC Hall Annex
Session : eMDC2018 Best Paper & Environment, Safety and Health (ES)

17:30 eMDC-O-03 : < eMDC2018 Best Paper > Process Window Optimization by Die to Database e Beam Inspection
Dr. Tuung Luoh, Macronix
17:50 ES-O-64 : Approaches to Facility Equipment and Production Tools for Energy Saving Activities
Shunsuke Kuroki, Renesas Electronics
18:10 Author's Interview & Break
18:30 Welcome Reception

Tuesday, December 11

Mon, Dec 10 | Tue, Dec 11 | Interactive

Room1: KFC Hall
Plenary Session

8:30 Registration
8:55 Program Outline
9:00 Keynote Speech
A new manufacturing model in China's IC industry

Dr. Richard Chang, SiEn (QingDao) Integrated Circuits
9:40 Kyenote Speech
Technology Roadmaps for Devices and Systems for Cloud/IoT-edge platforms

Dr. Yoshihiro Hayashi, SDRJ
10:20 Break
10:30 3mins Summary Presentation by Interactive Poster Speakers
11:20 Lunch Break
12:10 Keynote Speech
Some Manufacturing and Business Consideration for Advanced NAND Production

Dr. Simon Yang, Yangtze Memory Technologies
12:50 Keynote Speech
Achievement Strategy of High Quality and Productivity with Lowest Input in High Volume Manufacturing

Dr. JI CHUL YANG, SK Hynix
13:30 Break

Room 1 : KFC Hall
Session : High Reliability & Contamination Control and Ultraclean Technology (UC) & Process & Metrology Equipment (PE)

13:40 PC-O-57 : Outlier Screening for Advanced Automotive Applications
Xiao-Yu Li, Xilinx
UC-O-56 :
Withdraw
14:00 UC-O-8 : Advanced Wafer Container Contamination Control Methods and Strategies in Power Device Manufacturing
Markus Pfeffer, Fraunhofer IISB
14:20 UC-O-22 : Development of extreme microanalysis technology for metallic impurity on a silicon wafer surface
Syuhei Yonezawa, Tokyo Electron
14:40 PE-O-11 : Development of a Minimal multi-target helicon sputtering tool
Kazunori Takahashi, Tohoku University
15:00 PE-O-50 : Super-multipoint thickness measurement technology by optical macro inspection system
Hamada Takayuki, Toshiba Memory
15:20 Author's Interview & Break

Room 1 : KFC Hall
Session : Process and Material Optimization (PO)

16:00 PO-O-12 : Gas Phase Pore Stuffing for the protection of organo-silicate glass dielectric materials
Makoto Fujikawa, Tokyo Electron Technology Solutions
16:20 PO-O-16 : An Accurate Method for Determining Pattern Collapse Occurrence for Nano-Structures
Mitsunori Nakamori, Tokyo Electron Kyushu
16:40 PO-O-33 : The electrical effect on Schottky barrier diodes of emitted hydrogen from dielectric films
Tatsuya Shiraishi, TOSHIBA ELECTRONIC DEVICES & STORAGE
17:00 PO-O-39 : A Study on Mechanisms of SiO2-CMP
Shota Suzuki, FUJIMI INCORPORATED
17:20 Author's Interview & Break
17:40 Poster Session & ISSM Awards

Room2: KFC Hall Annex
Session : Process Control and Monitoring (PC) -2

13:40 PC-O-49 : Establishment of An Advanced Diagnostic Technology by Conductivity
Akira Nakahira, Renesas Electronics
14:00 PC-O-7 : Novel CMP technology for removal rate control of SiN
Toshio Shinoda, FUJIMI INCORPORATED
14:20 PC-O-15 : Improving thickness uniformity of sputter-deposited films by using magnet rotation speed control technique
Tatsuhiko Miura, Toshiba Memory
14:40 PC-O-25 : Predictive process control for change in chemical dry etching equipment condition
Miyuki Maruta, TOSHIBA ELECTRONIC DEVICES & STORAGE
15:00 PC-O-26 : Process Control Technique to Reduce Wafer Warpage for Trench Field Plate Power MOSFET
Hiroaki Kato, TOSHIBA ELECTRONIC DEVICES & STORAGE
15:20 PC-O-45 : Advanced Process Control Using Virtual Metrology to Cope with Etcher Condition Change
Shota Umeda, Hitachi
15:40 Author's Interview & Break

Room2: KFC Hall Annex
Session :@Process Control and Monitoring (PC)-3 & Factory Design & Automated Material Handling (FD)

16:00 PC-O-63 : Real-time control of a wafer temperature for uniform plasma process
Takayoshi Tsutsumi, Nagoya University
16:20 PC-O-67 : Real-time Etch Control to Reduce First Wafer Effect in SF6/O2/Ar Plasma
Sangwon Ryu, Seoul National University
16:40 PC-O-66 : Prediction of the Number of Defects in Image Sensors by VM using Equipment QC Data
Toshiya Okazaki, Sony Semiconductor
17:00 FD-O-17 : Trailer based rail monitoring in overhead hoist transport systems
Armin Siegel, TU Dresden
17:20 Author's Interview & Break
17:40 Poster Session & ISSM Awards

3 minutes summary presentation by interactive poster speakers

Mon, Dec 10 | Tue, Dec 11 | Interactive

Room1: KFC Hall

10:30 Introduction of 3mins summary presentation
MC-29 : Multi-Process , Products and Machine Control Chart Application in Semiconductors
Cheng June Wu, Powerchip Semiconductor
MC-31 : Product mix optimization under required cycle time for semiconductor wafer fabrication
Chih-Lin Chiu, YouThought
MC-53 : Control rule of metrology tool alignment for semiconductor cross FABs
Tang-Chi Wang, Powerchip Semiconductor
PC-27 : Smart Sampling Methodology for Yield Defect Inspection in a 200mm Foundry Wafer Fab
ANG KIAN HUAT, Global Foundries
PC-37 : Equipment Comparison Analysis using ANOVA of FDC Statistics
Hidetoshi Shinozaki, Mie Fujitsu Semiconductor
PE-38 : Secondary electron spectroscopy for imaging semiconductor materials
Toshihide Agemura, Hitachi High-Technologies
PO-13 : Inhibition of substrate heating in a Minimal Multi-Target Helicon sputtering tool
Taichi Saito, Tohoku University
PO-23 : Resist coating and developing process technology toward EUV manufacturing sub-7nm node -
Shinichiro Kawakami, Tokyo Electron
PO-51 : Optimization of polishing conditions of rectangular substrate
Akira Ozeki, Nitta Haas
UC-47 : Characterization of Self-Contamination from PVA Roll Brush with Atomic Force Microscopy and Fluorescent Microscopy
Shohei Shima, EBARA
YE-24 : Failure Mechanism of High Via Resistance Induced by Fluorine and Moisture
Tong Tze Kang, X-FAB