CALL FOR PAPERS

English(PDF: 133 KB)
Japanese(PDF: 242 KB)

ISSM2018 paper submission has been closed.

ISSM 2018 Abstract Template

ISSM 2018 Abstract Template(MS WORD: 39 KB)

The abstract has to be used ISSM abstract template.
EXACTLY one page is for text and EXACTLY one page is for graphics.
You need not fill the pages.
The second page is reserved for graphs, figures, photographs, etc.
Only two pages will be shared with the evaporators.

Important Date

Abstract Submission Start
Wed., Jun. 20, 2018
Abstract Submission Due
 
Mon., Jul. 30, 2018
Thu., Sept. 13, 2018 < PDT 8:00 AM >
Notification of Paper Acceptance
 
Thu., Sept. 13, 2018
Thu., Oct. 4, 2018

Areas of Interest

Abstract will be accepted for each of following areas of interest.

Fab Management

Process Integration

Final Manufacturing

ISSM Best Papers for IEEE/TSM

Best papers for ISSM will have the chance to submit full papers for IEEE/TSM (Transactions on Semiconductor Manufacturing) which is published quarterly for worldwide distribution. About ten papers are annually selected and reported in ISSM/TSM special session.

ISSM Awards

The following ISSM Awards to be presented to the excellent papers:;
- ISSM 2018 Best Paper Awards
- ISSM 2018 Best of the Best Paper Award
- ISSM 2018 Student Paper Awards
For detail, please see ISSM website.

Highlighted Theme

Papers on the topics of special interests will be rearranged and will be programmed as a special session for highlight themes. Papers on the following topics are especially welcome. See information on the reverse side.

High reliability device process technology for automotive and medical applications

IoT and AI Solution

3D-Architecture and Modules

Production Innovation in 200-mm Fabs

e-Manufacturing & Design Collaboration

ISSM 2018 addresses the approach from design perspective to manufacturing through our collaboration with eMDC.

Details for the highlight Theme

High reliability device process technology for automotive and medical applications

Semiconductor industry considers automotive and medical devices as growing markets. These markets require superior reliability, which makes improving device reliability essential.
Semiconductor manufacturing technology for highly reliable devices shall be the key to revive semiconductor industry. ISSM would like to share the cases of process and testing technology and discuss how to achieve the goal for highly reliable devices.

Topics may includes:
-Technology for an effective screening.
- Technology for an Outlier screening.
- Rapid failure analysis for an incident.

IoT and AI Solution

Big data utilization has been usual in various manufacturing industries recently. Internet of Things (IoT) and artificial intelligence (AI) attract enormous attention. In the semiconductor manufacturing, there have been huge research and development done on utilizing big data collected from equipment and sensors through the network over 30 years. ISSM 2018 will features gCollect big datah from IoT, gAnalyze big data,h and gUtilize big datah to AI as one of the highlighted keywords and reviews its application and solutions in semiconductor manufacturing. Big data analysis and the unique concept of combining big data, AI, and machine learning for semiconductor manufacturing will be discussed. The highlighted session provides perfect understandings about semiconductor manufacturing and deep discussions about gsmart manufacturingh to not only semiconductor industries, but also to other industries.

Topics may includes:
] IoT and sensing technology
] Artificial intelligence (AI) application
] Big data application

3D-Architecture and Modules

Topics may includes:
-Technology for Wafer on Wafer
-Technology for FOWLP(Fan Out Wafer Level Package)
-Integration Technology for Camera Module
-Integration Technology for MEMS and Sensors Module
-Integration Technology for RF Module
-Integration Technology for PMIC Module

Production Innovation in 200-mm Fabs

As a production platform for emerging IoT devices, an effective usage of 200 mm facilities has been paid attention. To strengthen device competitiveness, it is essential to pursue economics in 200 mm fabs and to boost productivity. Toward efficient fabs, many strategies and issues of capital equipment will be discussed, including effective usage of 200 mm fabs including usage of foundries, ideas for higher productivity with effective cost, usage of second-hand facilities.

Topics may includes:
- Directions and strategies for the legacy fab usage
- Methodologies, ideas, and case studies for higher productivity with cost effectiveness
- Directions and issues of capital investment, and re-use directions of second-hand equipment

Details for the Areas of Interest

Fab Management

FD: Factory Design & Automated Material Handling

This area focuses on fab design and its key enablers to meet the flexibility, extendibility, and scalability needs of a cost-effective leading-edge fab.

MS: Manufacturing Strategy and Operation Management

This area focuses on strategy and concepts for more functional fab, and its operation management to meet rapidly changing complex business requirements.

MC: Manufacturing Control and Execution

This area includes manufacturing execution and decision support systems, factory scheduling, control of equipment/materials handling systems and queue time management.

ES: Environment, Safety and Health

This area focuses on suppression of energy and materials consumption, recycling and reuse of materials from the standpoint of the environmental management in a semiconductor factory.

Process Integration

PO: Process and Material Optimization

This area focuses on process and material optimization from the standpoint of high reliability, cost reduction and environment.
The breakthrough technology to improve the productivity of legacy process is contained.

YE: Yield Enhancement Methodology

This area focuses on probe yield enhancement and its stabilization technology including inspection, analysis and reduction of defects and particles. Reports for the Zero-Defect process would be especially attractive.

UC: Contamination Control and Ultraclean Technology

This area focuses new technology on damage-less particle removal, contamination control of wafer backside and bevel, surface cleaning for new materials and fine structure. Energy saving cleaning and molecular level contamination control in advanced wafer fab will be included.

PC: Process Control and Monitoring

This area focuses on tighter process control for advanced production as well as mature fab, to achieve higher productivity, higher uptime, quality enhancement by advanced equipment control/advanced process control (AEC/APC), FDC, e-diagnostics and new sensors. This area also covers accuracy enhancement and smart process control using virtual metrology for advanced nanoscale device manufacturing, 3D profile/high aspect ratio structure control and excursion control for stable equipment operation.

PE: Process and Metrology Equipment

This area focuses on finer pattern delineation/control. The application of equipment control and engineering system will be highlighted.

DM: Design for Manufacturing

This area focuses in the collaboration between manufacturing and design including RET, OPC, systematic defects, and other approach to improve productivity from design.

Final Manufacturing

FM: Final Manufacturing

This area focuses on the technologies for "3DIC such as Wafer on Wafer and chip on wafer", "FOWLP = Fan Out Wafer Level Package", "Modules such as Camera, Wi-Fi, Bluetooth ", "Sensors such as gyroscope and MEMS"