PROGRAM SCHEDULE

ISSM 2008 Program Schedule
Click here to enlarge the image.

Monday, October 27

Mon, Oct 27 | Tue, Oct 28 | Interactive | Wed, Oct 29

9:30 Tutorial Session ESH

"SUSTAINABILITY AND ESH ASPECTS OF ADVANCED SEMICONDUCTOR MANUFACTURING"
Prof. Farhang Shadman, Regents Professor of Chemical and Environmental Engineering, the University of Arizona

Tutorial Session AEC/APC

"Introduction of AECAPC's history"
Mr. Toshihiko Osada, Senior Managemer, Fujitsu Microelectronics Limited

"Manufacturing engineering and AEC/APC"
Mr. KensukeUriga, CEO/President, Dura Systems Corporation

"Application of control theory for AEC/APC in semiconductor fabrication"
Mr. Hiroshi Shimizu, Director/Advanced Solution Department, Advance Automation Company, Yamatake Corporation

ISSM 2008

13:00 Opening Remarks
13:20 Keynote Speech: Challenges in The DRAM Business
Mr.Yukio Sakamoto, President&CEO, Elpida Memory,Inc.
14:10 Keynote Speech: The New Dynamics of Semiconductor Industry
Dr. Tien Wu Chief Operating Officer, Board of Directors, Advanced Semiconductor Engineering Inc.(ASE)
15:00 Break

Room A

Advanced Lithography Session
15:10 PE-O-97: Phenomenology of ArF Photoresist Shrinkage Trends
Benjamin Bunday, ISMI
15:30 PE-O-44: Lithography Hotspot Discovery at 70nm DRAM 300mm Fab : Process Window Qualification Using Design Based Binning
Masami Aoki, KLA-Tencor
15:50 YE-O-109: From Simulation to Characterization - Integrated Approach for Self Aligned Double Patterning Defectitivity
Amiad Conley, Applied Materials
16:10 Author's interview & Break
16:30 PO-O-40: Defect Reduction in ArF Immersion Lithography, Using Particle Trap Wafers with CVD Thin Films
Yoshinori Matsui, NEC Electronics
16:50 PO-O-154: Defectivity Readiness for Immersion Scanner Qualification Towards 32nm production wafers
Naoaki Yamaguchi , Applied Materials
17:10 UC-O-110: Defect Reducton in Advanced Lithography Processes Using a New Dual Functionality Filter
Aiwen Wu, Entegris
17:30 Author's interview & Break
18:30 Reception

Room B

Manufacturing Strategy and Management (MS)
15:10 MS-O-120: Advanced quality control of quartz parts for semiconductor equipment based on the food industry's well-established QC methodology(HACCP)
Kazuya Dobashi, Tokyo Electron
15:30 MS-O-59: Highly Accurate Management in the Dynamically Improving Fab Capacity
kazunori Imaoka, Spansion
15:50 MS-O-66: Accelerating R&D Learning: Cycle Time Reduction of A Technology Development Fab
Mike Zhang, Spansion
16:10 Author's interview & Break
16:30 MS-O-196: Exploratory Study on Emerging Integrator Business Model in Engineering Chain of the Semiconductor Industry: Re-integration of Vertical Disintegration
Yea-Huey Su, National Central University
16:50 MS-O-199: System Perspective on the Business Model Structures of TSMC and UMC: Foundations of Business Model Design for a Company
Yea-Huey Su, National Central University
17:10 MS-O-202: Method and System for Determining Optimal Wafer Sampling in Realtime Inline Monitoring and Experimental Design
Kari Johnson, Micron Technology
17:30 Author's interview & Break
18:30 Reception

Tuesday, October 28

Mon, Oct 27 | Tue, Oct 28 | Interactive | Wed, Oct 29

9:00 Keynote Speech: Manufacturing Challenges in Automotive Nanoelectronics
Dr. Reinhard Ploss, Member of the Management Board Operations, Infineon Technologies AG
9:50 Keynote Speech: Heterogeneous Integration and Clustered Virtual Vertical Integration for IC Industry
Dr. Nicky C. C. Lu, Chairman/CEO, Etron Technology, Inc.

Room A

Process and Metrology Equipment (PE)
11:00 PE-O-161: Study of Ion implantation induced gate oxide quality problem and its solutions.
Kazuhisa Shiraki, OMRON
11:20 PE-O-125: Novel Single-Wafer, Single-Chamber Dry/Wet-Combination System for Stripping and In-situ Cleaning of High-Dose Ion-Implanted Photoresists
Yi-jung Kim, SEMES CO.,LTD
11:40 PE-O-34: Prediction of Film Thickness of Bottom Anti-Reflective Coating Based on In-Line Viscosity Measurement
Masato Ota, Levitronix LLC
12:00 Author's interview & Lunch Time
13:20 Keynote Speech: From Radical Innovation to Radical Collaboration - The Industry in Flux
Dr. Bernard S. Meyerson, VP Strategic Alliances and CTO, IBM Fellow, IBM Systems and Technology Group, IBM Corp.
14:10 Break
Advanced Metrogy Session
14:20 PE-O-143: Optical Ellipsometry for SiON Gate Production Monitoring
Sungchul Yoo, KLA-Tencor
14:40 PE-O-16: Measuring SADP Features of 22nm Technology Node with Old Generation CD SEMs
James Jiahua Yu, Applied Materials
15:00 PE-O-136: In-Line Metrology for the 45 nm and 32 nm Nodes
John Allgair, ISMI
15:20 YE-O-9: Detection of Non Visible Poly Leakage Defect by e-Beam Inspection
Shinya Ito, Spansion
15:40 YE-O-200: Challenge Systemic Defects Control in advance DRAM Fab
Luke Lin, Powerchip Semiconductor
16:00 YE-O-135: Investigation and solution of bump-like killer defects
Hong Xiao, Hermes Microvision
16:20 Author's interview & Break

Room B

Factory Design (FD)
11:00 FD-O-129: Timed Move Command for improved on-time AMHS delivery
Joerg Luebke, AMD
11:20 FD-O-45: Impacts of Small lot Manufacturing on AMHS
Hiroshi Kondo, Asyst Technologies Japan
11:40 Author's interview & Lunch Time
13:20 Keynote Speech: From Radical Innovation to Radical Collaboration - The Industry in Flux
Dr. Bernard S. Meyerson, VP Strategic Alliances and CTO, IBM Fellow, IBM Systems and Technology Group, IBM Corp.
14:10 Break
Manufacturing Control and Execution (MC)
14:20 MC-O-12: Fully automation control system for golden super hot lot in 300mm Fab
TE Lung Wu, Rexchip Semiconductor
14:40 MC-O-103: Multiple Lot in One FOUP(MLiF) Control and Management System in the 300mm Fab
Nian-Wei Chan, Rexchip Semiconductor
15:00 MC-O-19: Throughput improvement with setup reduction focusing on process identification
Yoshiaki Kobayashi, Renesas Technology Corp.
15:20 MC-O-27: Win-Win Approach Between Tool Productivity and Delivery Fulfillment By Carpool Execution
Yih-Yi Lee, TSMC
15:40 MC-O-47: In-line inspection impact on Cycle Time and Yield
Israel Tirkel, Ben-Gurion University
16:00 Author's interview & Break

3-min Summary Presentation for Interactive Poster Session

Mon, Oct 27 | Tue, Oct 28 | Interactive | Wed, Oct 29

Room A

16:40 MS-P-18: Using DEA for Relative Efficiency Analysis of Wafer Fabrication Facilities
Wan Ling Lin, TSMC
16:44 MS-P-57: Knowledge Sharing and Creation in the Semiconductor Equipment Industry
Tsuyoshi Moriya, Tokyo Electron
16:48 MS-P-122: Improving Customer Responsiveness at Assembly Test Operations with the Application of Manufacturing Science
Joan Tafoya, Intel
16:52 MS-P-180: Overall Equipment Efficiency (OEE) Improvements for Lithographic Tools in Wafer Fab
Surein Gopal , Sii Terra
16:56 FD-P-123: Think Outside of the Integrated Circuit Fabricator Box
Bevan Wu, BW & Associates
17:00 MC-P-5: TAT Cost Calculation of a Wafer in a Lot
Hiroyuki Okumura, NEC Electronics
17:04 MC-P-15: Manual Material Handling System for 8-inch Fab
Wen-Cheng Chen, TSMC
17:08 MC-P-32: A Scanner Throughput Monitoring System (STMS) for Continuously Improving Litho-Cluster Productivity
Wei Tai Chen, UMC
17:12 MC-P-115: Optimal Load Allocation Method for Keeping Target TAT
Akira Ono, Renesas Technology
17:16 MC-P-128: A Study of Multiple Objectives Real-Time Dispatcher for Wafer Fabrication
Asok Kumar , Sii Terra
17:20 ES-P-35: A Safer Alternative to Hydrofluoric Acid for Cleaning Epitaxial Silicon Reactor Exhaust Parts
Tamir F. Ayad, P.E., ST Microelectronics
17:24 PE-P-17: Influence of deteriorated i-line filter on image quality of exposure tools, and its improvement
KAZUNORI OKUYAMA, Fujitsu
17:28 PE-P-67: Study on Gas Replacement Time in Plasma Process Chamber for Realizing Ideal Down Flow of Gas without Disturbance
Sadaharu Morishita, OMRON
17:32 PE-P-127: Monitor and management system of equipment operation status
Masatoshi Ikeda, Selete
17:36 PE-P-173: LOG data analysis and application for exposure tool
Yukio Ibe, SANYO Electronics
17:40 DM-P-119: Robust Design with Direct Product of the L18 Orthogonal Arrays
Nobuichi Kuramochi, Toshiba
17:44 FM-P-2: Invisible Ejector Characteristics
Chandrasagaran, Moganasundaram, Intel

Room B

16:40 PO-P-113: Design Optimization of Lateral DMOS Using Genetic Algorithm
Hideto Mitsuhashi, New Japan Radio Co., Ltd
16:44 PO-P-162: The new era started by the MEMS large scale production with 200mm wafers
Nobuyuki Iida, OMRON
16:48 PO-P-174: BPSG boron spike generation investigated by chamber pressure monitoring
Toshiya Yokota, Spansion
16:52 PO-P-195: Manufacturability Considerations in Double Patterning Lithography
Junji Miyazaki, ASML
16:56 PC-P-10: An Algorithm to Visualize Tool Difference Transitions in Semiconductor Fabrications
Tomoaki Kubo, Toshiba
17:00 PC-P-33: Characterization Algorithm of Equipment-caused Particle Trend for LSI Yield Improvement
Masaaki Sugimoto, NEC Electronics
17:04 PC-P-96: A Cost-Effective Alternative to Moisture Monitoring in Epitaxial Silicon Processing
Tamir Ayad, ST Microelectronics
17:08 PC-P-108: APC - The Consequent Next Step
Dr. Michael Brueggemann, Infineon
17:12 PC-P-116: Photolithography Run-to-Run Metrology Control Using Multiple Algorithms for Mass Production Enhancement
yung-yao lee, ProMos Technologies
17:16 PC-P-150: FDC-methods as trigger for preventive maintenance for hot- and wet-process equipment
Michael Brueggemann, Infineon
17:20 UC-P-157: Direct Monitoring of Semiconductor Wafer Cleaning Solutions Using Attenuated Total Reflection Far Ultraviolet Spectroscopy
Noboru Higashi, KURABO
17:24 YE-P-20: A trend mining method for yield improvement based on trend in time series
Hidetaka Tsuda, Fujitsu LSI Technology Limited
17:28 YE-P-105: In-line material analysis of 50nm defects by integration of Energy (EDX) and Wavelength (WDX) Dispersive X-ray analysis
Tomoyuki Tada, Applied Materials
17:32 YE-P-160: Yield enhancement through new solutions for queue time containment
VERAN, AVTF
17:36 YE-P-165: Optical Beam Enhanced Defect Detection With Electron Beam Inspection Tools
Yan Zhao, Hermes Microvision
17:40 YE-P-185: Knowledge Engineering of Analysis Tool Application Processes for Yield Symptom Identification
Shi-Chung Chang, National Taiwan University

Wednesday, October 29

Mon, Oct 27 | Tue, Oct 28 | Interactive | Wed, Oct 29

9:00 ISSM 2009 Announcement
9:10 Invited Speech: AEC/APC
Thomas Sonderman, Vice President of Manufacturing Systems Technology (MST), AMD

Room A

AEC/APC Session
10:00 PC-O-106: Interconnection failure caused by bath degradation in copper electro-plating
Shin-ichi Imai, Matsushita Electric (Panasonic)
10:20 PC-O-49: Virtual Metrology Modeling for Plasma Etch Operations
DeKong Zeng, University of California Berkeley
10:40 PC-O-130: Comprehensive plug and play FD system realized Predictive Maintenance
Katsuhisa Sakai, Renesas Technology
11:00 PC-O-42: Centering value by using TSMRA prediction of CD process variation
Shigenobu. Murashima, NEC Semiconductors Kansai, Ltd
11:20 Author's interview & Break
Process Control and Monitoring (PC)
11:40 PC-O-3: Focus and CD Control by Scatterometry Measurements for 65/45nm node devices
TOSIHIIHIDE KAWACHI, Renesas Technology
12:00 PC-O-4: Advanced endpoint detection tool of NF3 remote plasma cleaning
Kazuyuki Fujii, Renesas Technology
12:20 Author's interview & Lunch Time
13:20 PC-O-187: BEOL parametric variation control with FDC data
Hideki Matsuhashi, PDF Solutions, Inc
13:40 PC-O-41: Approach of Continuous Device Reliability Improvement Activity in Manufacturing Stage
Akira Inoue, NEC Electronics
14:00 Author's interview & Break
Final Manufacturing (FM)
14:20 FM-O-53: TLS-Dicing – the way to higher yield and throughput
Hans-Ulrich Zuehlke, Jenoptik Automatisierungstechnik GmbH
14:40 FM-O-175: Effect of type of foil and blade type to backside chipping in wafer level packages
Peh Kok Hua, Infineon
15:00 FM-O-82: 300mm Wafer Stain Formation by Spin Etching
Keisuke Sato, SEZ
15:20 Author's interview & Break
Process and Material Optimization (PO)
15:40 PO-O-172: Enhancing Electrical Properties of Nickel Silicide by Using Spike Anneal as the Second RTA
Takuya Futase, Renesas Technology Corp.
16:00 PO-O-64: Productivity Improvement for a Zr-base High-k Film Deposition using Thermal Chamber Cleaning Technique
Hirohisa yamazaki, Hitachi Kokusai Electric Inc.
16:20 PO-O-25: Achieving high gate oxide quality by wet process improvement
Masakazu Yasu, OMRON
16:40 PO-O-141: Application of quality engineering for Cu damascene etching
Yusuke Oda, NEC Electronics
17:00 PO-O-8: High Reliable Characteristics in Manufacturing of MEMS Pressure Sensor
Tetsuhiro Zushi, OMRON
17:20 Author's interview

Room B

Design for Manufacturing (DM)
10:00 DM-O-93: Electrically Testable CMP Characterization Vehicle for DFM, Process Transfer, and Process Development
Jule Segal, Spansion
10:20 DM-O-145: Eliminating Stress-Induced Junction Leakages at Minimum Polysilicon-Active Space in Advanced Embedded High Voltage CMOS Technologies
Moey Chin Boon, Systems on Silicon Manufacturing
10:40 DM-O-118: Optimal Process Design for on-chip Micro-lenses with Quality Engineering
Yasuhisa Oomuro, Toshiba
11:00 Author's interview & Break
Environment, Safety and Health (ES)
11:20 ES-O-132: Technology-Enabled Employee Wellness: Emerging Trends, Applications, and Results
Scott Lantz, Intel
11:40 ES-O-131: Overall Green Efficiency Enhancement with Manufacturing process optimization in KMO
Tan Lin Sheng , Intel
12:00 ES-O-182: Going Green with On-Site Generated Fluorine: Sustainable Cleaning Agent for CVD Processes
Paul Stockman, Linde Electronics
12:20 Author's interview & Lunch Time
Ultraclean Technology (UC)
13:20 UC-O-56: A Novel Filter Rating Method for less than 30 nm particle
Takehito Mizuno, Nihon Pall Ltd.
13:40 UC-O-117: Minute-particle reduction by applying thermophoresis to semiconductor production equipment
Hidefumi Matsui, Tokyo Electron
14:00 Author's interview & Break
Yield Enhancement Methodology (YE)
14:20 YE-O-36: Reducing contamination of particles reflected in turbo molecular pump
Hiroyuki Kobayashi, Hitachi
14:40 YE-O-85: Qualification of inline FIB for Production: A Comprehensive Contamination Study
Tomoyuki Tada, Applied Materials
15:00 YE-O-114: Integrated defect sampling method by using design attribute for high sensitivity inspection in 45nm production environment
yasuhiro kaga, Toshiba
15:20 YE-O-43: EES Data Analysis of Lithography Trouble Detected by MapSSA
Hiroshi Matsushita, Toshiba
15:40 Author's interview & Break
16:00 YE-O-84: Proposal of Backside Die-level Analysis
Takeshi Yoshida, Renesas Technology
16:20 YE-O-54: High Voltage Gate Oxide Integrity for Embedded Flash Memory Devices
Moey Chin Boon, Systems on Silicon Manufacturing
16:40 YE-O-170: Analysis of Deep Scratch
Daisuke Takeda, Spansion
17:00 YE-O-58: Yield Monitoring System for Silicon Wafer
Mayumi Kogure, Spansion
17:20 Author's interview