PROGRAM SCHEDULE

ISSM2016 Program Schedule at-a-glance(as of Nov 28)

ISSMのエリアについての詳しい解説はこちらをご覧ください。

Monday, December 12

Mon, Dec 12 | Tue, Dec 13 | Interactive

Room 1 : KFC Hall
Plenary Session

8:00 Registration
9:00 Opening
9:20 Keynote Speech
Minimal Fab using half-inch wafers to reduce a fab investment to 1/1,000

Dr. Shiro Hara, Minimal Fab
10:00 Keynote Speech
Toyota's Efforts Toward Realizing a Sustainable Society

Dr. Takahiro Ito, TOYOTA
10:40 Break
10:50 Keynote Speech
An overview of smart factories in Industry 4.0 implementation.

Dr. Jonathan Chang, Infineon
11:30 Lunch Break

Room 1 : KFC Hall
Highlight Session : IoT and Big Data Solution

12:30 MC-O-24 : Data Mining Approaches to Optimize the Allocation of Production Resources in Semiconductor Wafer Fabrication
Chih-Min Yu, YouThought Corporation
12:50 PC-O-51 : ASML : a Decade of Big Data use
Rogier Kuijper, ASML
13:10 PC-O-62 : Distributed Database and Application Architecture for Big Data Solutions
Makoto Misaki, Panasonic
13:30 YE-O-50 : A Comprehensive Big-Data-Based" Monitoring System for Yield Enhancement in Semiconductor Manufacturing"
Kouta Nakata, Toshiba
13:50 Author's Interview & Break
14:10 YE-O-13 : Visualization technique of maintenance work with motion capture sensors
Munehito Kagaya, Tokyo Electron
14:30 PC-O-71 : Unstructured Data Treatment for Big Data Solutions
Shintaro Sato, Panasonic
14:50 PC-O-60 : Automatic Property Visualization for Material Survey Support
Masayuki Okamoto, Toshiba
15:10 Author's Interview & Break

Room 1 : KFC Hall
Session : Manufacturing Control and Execution (MC) & eMDC Invited

15:30 <eMDC2016 Invited> : Prioritization of Key In-Line Process Parameters for Electrical Characteristic Optimization of 16-nm High-k Metal Gate Bulk FinFET Devices
Dr. Ping-Hsun Su, National Chiao Tung University
15:50 <eMDC2016 Invited> MC-O-9 : Heuristic Methods for Q-time Bottleneck Dispatching
Ching-Lung Chang, Winbond Electronics
16:10 <eMDC2016 Invited> MC-O-19 : Generalized Overall Equipment Effectiveness for Integrated Scheduling and Process Control
Yu-Ting Kao, National Taiwan University
16:30 MC-O-43 : Dynamic Cycle-time Improvement through Big Data Analytics
Chih Ming CHAN, GlobalFoundries
16:50 MC-O-67 : Simultaneous Assignments of Multiple Types of Production Resources in Semiconductor Manufacturing
Hiroyuki Motomiya, University of Tsukuba
17:10 MC-O-73 : Optimal Production and Capacity Planning for Make-to-Order type Semiconductor Production Systems
Huizhen BU, University of Tsukuba
17:30 Author's Interview & Break
17:50 Welcome Reception

Room2: KFC Hall Annex
Session: Process and Material Optimization (PO)

12:30 PO-O-21 : Plasma Erosion Behavior of Yttrium Oxide film formed by ADM
Hiroaki Ashizawa, TOTO LTD
12:50 PO-O-22 : Sub-10 nm Metal Wire Circuit Fabrication using Directed Self-Assembly of Block Copolymers
Tsukasa Azuma, Evolving nano process Infrastructure Development Center (EIDEC)
13:10 PO-O-30 : EEPROM retention performance modulation by poly Si grain size & dopant distribution
Guai Guan Hong, GlobalFoundries
13:30 PO-O-31 : STI HDP process effect on yield of embedded memory processes
Guai Guan Hong, GlobalFoundries
13:50 Author's Interview & Break
14:10 PO-O-37 : Challenges for immersion lithography extension based on negative tone imaging (NTI) process
TORU FUJIMORI, FUJIFILM
14:30 PO-O-58 : Improvement of Particle Generation in a Dry Etching Apparatus
Tetsuyuki Matsumoto, Toshiba
14:50 PO-O-68 : Investigation of plasma-induced damage in silicon trench etching
Shuichi Kuboi, Toshiba
15:10 Author's Interview & Break

Room2: KFC Hall Annex
Session: Process Control and Monitoring (PC) & Environment, Safety and Health (ES)

15:30 PC-O-8 : Advanced Fault Detection Method for Chemical Mechanical Polisher
Yohei Hamaguchi, Renesas Semiconductor Manufacturing
15:50 PC-O-14 : Flash gate optimized process and integration for electrical performances requirement on advanced embedded memory
Agharben El Amine, EMSE-CMP
16:10 PC-O-42 : Etching Rate Drifts and Drops Induced by Metal Etching Processes
Kosuke Yamamoto, Tokyo Electron
16:30 PC-O-47 : Developing R2R controller by means of studying the sources of variability in plasma etch process
Agnès Roussy, EMSE-CMP
16:50 PC-O-59 : Process Optimizer for adjusting film thickness and in-film dopant concentration at the same time
Yuichi Takenaga, Tokyo Electron
17:10 ES-O-23 : Exploit the value of production data to discover opportunities for saving power consumption by production tools
Chih-Min Yu, YouThought Corporation
17:30 Author's Interview & Break
17:50 Welcome Reception

Tuesday, December 13

Mon, Dec 12 | Tue, Dec 13 | Interactive

Room1: KFC Hall
Plenary Session

8:30 Registration
8:55 Program Outline by Ayako Shimazaki, Toshiba Nanoanalysis, ISSM Program Executive Vice Chairman
9:00 Tutorial
Changing semiconductor production management system

Tetsuya Kubo, Toshiba
9:50 Tutorial
Semiconductors and their band diagrams

Prof. Shinichi Takagi, The University of Tokyo
10:40 Break
10:50 Keynote Speech
Toward Sustainable Nanometer Manufacturing Technologies in the 2020s

Dr. Jack Sun, TSMC
11:30 "Evolution and revitalization of legacy Fabs" Sesssion Keynote
An overview of optimized automation of 8-inch fab manufacturing lines

Heinz Martin Esser, Fabmatics GmbH
12:10 "Evolution and revitalization of legacy Fabs" Sesssion Keynote
Challenges and Innovations in a 200mm Wafer Fab

Peter Kailbauer, ams AG
12:50 Lunch Break
13:30 Keynote Speech
New Era of Electrification and Vehicle Intelligence

Dr. Haruyoshi Kumura , Nissan Motor
14:10 3mins Summary Presentation by Interactive Poster Speakers
14:40 Break

Room1: KFC Hall
Highlight Session : High reliability device process technology for automotive and medical applications

14:50 DM-O-11 : Layout-based Test Coverage Verification for High Reliability Devices
Yoshikazu Nagamura, Renesas Semiconductor Manufacturing
15:10 PC-O-55 : New Method of Screening Out Outlier(Expanded "PAT" during Package Test)
Tadashi Sakamoto, Japan Semiconductor Corporation
15:30 <eMDC2016 Invited> DM-O-63 : Fundamentals of side isolation LDMOS device with 0.35um CMOS compatible process
R.Deivasigamani, Asia University
15:50 DM-O-65 : Study on High-Side LDMOS Energy Capability Improvement
Yun-Jung Lin, Asia University
16:10 PO-O-72 : High-Temperature-Resistant Interconnection by Using Nickel Nano-particles for Power Devices Packaging.
Tomonori IIZUKA, Waseda University
16:30 Author's Interview & Break

Room1: KFC Hall
Session : Process & Metrology Equipment (PE) & Contamination Control and Ultraclean Technology (UC) & Yield Enhancement Methodology (YE)

16:50 PE-O-10 : Contactless device for the fast conductivity characterization of a large range semiconductors
F.LOETE, Centralesupelec
17:10 UC-O-7 : Extended Contamination Control in Advanced Wafer Processing
Markus Pfeffer, Fraunhofer IISB
17:30 UC-O-18 : Investigation into behavior of mobile ions in storage device using ToF-SIMS
Nobuhito Kuge, Toshiba
17:50 YE-O-56 : STI Si Damage Defect Reduction by HDP Profile Optimizations
Shinya Ito, Texas Instruments
18:10 Author's Interview & Break
18:30 Poster Session & ISSM Awards
20:00

Room2: KFC Hall Annex
Highlight Session : Evolution and revitalization of legacy Fabs

14:50 MS-O-16 : Fab Labor Productivity Improvement through a Combined Modeling Approach
Ariel Meyuhas, The MAX Group
15:10 PO-O-4 : A Theoretical Modeling of CMP: A Monte-Carlo Approach
Akira Endou, FUJIMI INCORPORATED
15:30 PE-O-34 : Metrologies of Behavior of Abrasives for Understanding and UPgrading CMP Process
Shohei Shima, EBARA
15:50 PO-O-5 : Development of novel CMP slurry for FEOL processes
Yasuyuki Yamato, FUJIMI INCORPORATED
16:10 UC-O-6 : Particle removal efficiency evaluation of filters in IPA
Tomoyuki Takakura, Nihon Pall
16:30 Author's Interview & Break
16:50 UC-O-33 : Analysis method of metal contamination for isopropyl alcohol
Kazuya Dobashi, Tokyo Electron
17:10 YE-O-26 : Improving Process Tool Productivity by Correct Sealing Material Selection for Plasma Processes
Murat Gulcur, IDEX Sealing Solutions - PPE
17:30 UC-O-49 : Particle Adsorption onto Si Wafers in Ultrapure Water; its Mechanism and Effect of Carbon Dioxide
Koji Nakata, Kurita Water Industries Ltd.
17:50 MC-O-41 : Revitalizing the 200mm Fabs through Automation
Chih Ming CHAN, GlobalFoundries
18:10 Author's Interview & Break
18:30 Poster Session & ISSM Awards
20:00

3 minutes summary presentation by interactive poster speakers

Mon, Dec 12 | Tue, Dec 13 | Interactive

Room1: KFC Hall

14:10 Introduction of 3mins summary presentation
ES-76 : Development of an energy-saving controller for sub apparatus
Toshiya Ozaki, Toshiba
MS-77 : Factory Integration Fosus Area in the IRDS
Supika Mashiro, Tokyo Electron
PC-36 : Next Generation FDC: Dynamic Full Trace Fault Detection
Tom Ho, BISTel, Inc
PC-38 : Monitoring method for deposited film causing particles in mass-production plasma etching process using a load impedance monitoring system
Yuji Kasashima, National Institute of Advanced Industrial Science and Technology(AIST)
PO-15 : Self-adjusting boron nitride mask for Reactive-Ion Etching
Konrad Schwanitz, WIKA Alexander Wiegand SE & Co. KG
PO-17 : Study for Phosphorus Contamination to High Voltage Transistors
LIANG, LI, GlobalFoundries
PO-61 : Research and development of metal-based resist materials for EUVL
Julius Joseph Santillan, Evolving nano process Infrastructure Development Center (EIDEC)
PO-64 : The Effect of Slurry pH and Particle Size on LiTaO3 Polishing
Kazuki Moriyama, Nittahaas
PO-69 : Electrical characterisation of Metal contacts to 4H-SiC Enhanced by Pre-Metallisation Surface Treatment
Stanley Luong, RMIT University