CALL FOR PAPERS

ISSM2016 paper submission has been closed.

ISSMのエリアについての詳しい解説はこちらをご覧ください。
English(PDF: 132 KB)
Japanese(PDF: 243 KB)

Important Date

Abstract Submission Start
Mon., Jun. 20, 2016
Abstract Submission Due
 
Friday, September 23, 2016
Thursday, August 25, 2016
Notification of Paper Acceptance
 
Thursday, October 13, 2016
Monday, September 26, 2016

ISSM 2016 Abstract Template

ISSM 2016 Abstract Template

Areas of Interest

Abstract will be accepted for each of following areas of interest. See reverse for further.

Fab Management

Process Integration

Final Manufacturing

ISSM Best Papers for IEEE/TSM

Best papers for ISSM will have the chance to submit full papers for IEEE/TSM (Transactions on Semiconductor Manufacturing) which is published quarterly for worldwide distribution. About ten papers are annually selected and reported in ISSM/TSM special session.

ISSM Awards

The following ISSM Awards to be presented to the excellent papers:;
- ISSM 2016 Best Paper Awards
- ISSM 2016 Best of the Best Paper Award
- ISSM 2016 Student Paper Awards
For detail, please see ISSM website.

Highlighted Theme

Papers on the topics of special interests will be rearranged and will be programmed as a special session for highlight themes. Papers on the following topics are especially welcome. See information on the reverse side.

High reliability device process technology for automotive and medical applications

IoT and Big Data Solution

3D-Architecture and Modules

Power Devices

Production Innovation in 200-mm Fabs

e-Manufacturing & Design Collaboration

ISSM 2016 addresses the approach from design perspective to manufacturing through our collaboration with eMDC.

Details for the Areas of Interest

FAB MANAGEMENT

FD: Factory Design & Automated Material Handling

This area focuses on fab design and its key enablers to meet the flexibility, extendibility, and scalability needs of a cost-effective leading-edge fab.

MS: Manufacturing Strategy and Operation Management

This area focuses on strategy and concepts for more functional fab, and its operation management to meet rapidly changing complex business requirements.

MC: Manufacturing Control and Execution

This area includes manufacturing execution and decision support systems, factory scheduling, control of equipment/materials handling systems and queue time management.

ES: Environment, Safety and Health

This area focuses on suppression of energy and materials consumption, recycling and reuse of materials from the standpoint of the environmental management in a semiconductor factory.

Process Integration

PO: Process and Material Optimization

This area focuses on process and material optimization from the standpoint of high reliability, cost reduction and environment. The breakthrough technology to improve the productivity of legacy process is contained.

YE: Yield Enhancement Methodology

This area focuses on probe yield enhancement and its stabilization technology including inspection, analysis and reduction of defects and particles. Reports for the Zero-Defect process would be especially attractive.

UC: Contamination Control and Ultraclean Technology

This area focuses new technology on damage-less particle removal, contamination control of wafer backside and bevel, surface cleaning for new materials and fine structure. Energy saving cleaning and molecular level contamination control in advanced wafer fab will be included.

PC: Process Control and Monitoring

This area focuses on tighter process control for advanced production as well as mature fab, to achieve higher productivity, higher uptime, quality enhancement by advanced equipment control/advanced process control (AEC/APC), FDC, e-diagnostics and new sensors. This area also covers accuracy enhancement and smart process control using virtual metrology for advanced nanoscale device manufacturing, 3D profile/high aspect ratio structure control and excursion control for stable equipment operation.

PE: Process and Metrology Equipment

This area focuses on finer pattern delineation/control. The application of equipment control and engineering system will be highlighted.

DM: Design for Manufacturing

This area focuses in the collaboration between manufacturing and design including RET, OPC, systematic defects, and other approach to improve productivity from design.

Final Manufacturing

FM: Final Manufacturing

This area focuses on the technologies for "3DIC such as Wafer on Wafer and chip on wafer", "FOWLP = Fan Out Wafer Leel Package","Modules such as Camera, WiFi, Bluetooth ", "Sensors such as gyroscope and MEMS"

Details for the highlight Theme

High reliability device process technology for automotive and medical applications

Semiconductor industry considers automotive and medical devices as growing markets. These markets require superior reliability, which makes improving device reliability essential.
Semiconductor manufacturing technology for highly reliable devices shall be the key to revive semiconductor industry. ISSM would like to share the cases of process and testing technology and discuss how to achieve the goal for highly reliable devices.

Topics may includes:

-Technology for an effective screening
-Technology for an Outlier screening
-Rapid failure analysis for an incident

IoT and Big Data Solution

IoT, “Big Data”, Industry 4.0 have been studied in various industries recently. The semiconductor fabs have been recognized as one of the most heavily instrumented factories among the entire industries. Because of its heavily dependence on highly advanced control technologies, there have been huge research and development done on utilizing “big data” collected from equipment and sensors through local area network. Semiconductor industry spearheads other industries in “big data” and Industry 4.0. ISSM 2016 will features “big data” as one of the highlighted keywords and reviews its application and solutions in semiconductor manufacturing. The unique concept of combining “big data”, artificial intelligence, and machine learning for semiconductor manufacturing will be discussed. The highlighted session provides perfect understandings about “big data” to not only semiconductor industries, but also to other industries.

Topics may includes:

-Big Data Application
-Big Data and Sensing Technology
-Big Data and Artificial Intelligence

3D-Architecture and Modules

Topics may includes:

-Technology for Wafer on Wafer
-Technology for FOWLP (Fan Out Wafer Level Package)
-Technology for Camera Module
-Technology for MEMS and Sensors
-Technology for WiFi and Bluetooth modules

Production Innovation in 200-mm Fabs

As a production platform for emerging IoT devices, an effective usage of 200 mm facilities has been paid attention. To strengthen device competitiveness, it is essential to pursue economics in 200 mm fabs and to boost productivity. Toward efficient fabs, many strategies and issues of capital equipment will be discussed, including effective usage of 200 mm fabs including usage of foundries, ideas for higher productivity with effective cost, usage of second-hand facilities.

Topics may includes:

-Directions and strategies for effective fab usage
-Methodologies, ideas, and case studies for higher productivity with cost effectiveness
-Directions and issues of capital investment, and re-use directions of second-hand equipment