CALL FOR PAPERS

English(PDF: 278 KB)
Japanese(PDF: 444 KB)

Important Date

Abstract Submission Start
Friday, June 20, 2014
Extended Submission Deadline
Sunday, September 14th
Notification of Paper Acceptance
 
Friday, September 26th
Friday, September 12, 2014

Abstract Submission

Paper submission for ISSM2014 has been closed.

ISSM 2014 Abstract Template

ISSM 2014 Abstract Template(MS WORD: 39 KB)

Areas of Interest

Abstract will be accepted for each of following areas of interest. See reverse for further information.

Fab Management

Process Integration

Final Manufacturing

Highlight Theme

Papers on the topics of special interests will be rearranged and will be programmed as a special session for highlight themes. Papers on the following topics are especially welcome. See information on the reverse side.

Big Data

3DIC (TSV and all other 3D)

Power Devices

Printed Electronics

e-Manufacturing & Design Collaboration

ISSM 2014 will address the approach from design perspective to manufacturing through our collaboration with eMDC.

Recommendation for IEEE/ TSM

Best papers for ISSM will have the chance to submit full papers for IEEE/TSM (Transactions on Semiconductor Manufacturing) which is published quarterly for worldwide distribution. About ten papers are annually selected and reported in ISSM/TSM special session for the next year.

 

Additional details for the Areas of Interest and Highlight theme

Details for the Areas of Interest

Fab Management

FD: Factory Design & Automated Material Handling

This area focuses on fab design and its key enablers to meet the flexibility, extendibility, and scalability needs of a cost-effective leading-edge fab.

MS: Manufacturing Strategy and Operation Management

This area focuses on strategy and concepts for more functional fab, and its operation management to meet rapidly changing complex business requirements.

MC: Manufacturing Control and Execution

This area includes manufacturing execution and decision support systems, factory scheduling, control of equipment/materials handling systems and queue time management.

ES: Environment, Safety and Health

This area focuses on suppression of energy and materials consumption, recycling and reuse of materials from the standpoint of the environmental management in a semiconductor factory.

Process Integration

PO: Process and Material Optimization

This area focuses on process and material optimization from the standpoint of miniaturization, cost reduction and environment. The breakthrough technology to improve productivity is contained.

YE: Yield Enhancement Methodology

This area focuses on probe yield enhancement and its stabilization technology including inspection, analysis and reduction of defects and particles. Reports for the advanced 45nm process and 300mm wafer processes would be especially attractive.

UC: Contamination Control and Ultraclean Technology

This area focuses new technology on damage-less particle removal, contamination control of wafer backside and bevel, surface cleaning for new materials and fine structure. Energy saving cleaning and molecular level contamination control in advanced wafer fab will be included.

PC: Process Control and Monitoring

This area focuses on tighter process control for advanced production as well as mature fab, to achieve higher productivity, higher uptime, quality enhancement by advanced equipment control/advanced process control(AEC/APC), FDC, e-diagnostics and new sensors. This area also covers accuracy enhancement and smart process control using virtual metrology for 32 to 65nm nanoscale device manufacturing and excursion control for stable equipment operation.

PE: Process and Metrology Equipment

This area focuses on finer pattern delineation/control. The application of equipment engineering system will be highlighted.

DM: Design for Manufacturing

This area focuses in the collaboration between manufacturing and design including RET, OPC and systematic defects.

Final Manufacturing

FM: Final Manufacturing

This area focuses on "3D technology","Flip chip & fine pitch bump","Si interposer" and "Lead-free connection".

Details for the highlight theme

Big Data Application, Big Data Solution

The semiconductor fabs have been recognized as one of the most heavily instrumented factories among the entire industries. 'Big Data' strategy should have been essential for semiconductor business strategy. However due to the lack of adequate in-house skills to leverage the full value of data, semiconductor fabs had not put the priority value on "Big Data" analysis. It now requires more attention to advanced statistics, fast analytic routines, and integrated solutions, as well as greater automation of engineering analysis and interpretation.

Topics may includesF

Big Data Application for Semiconductor Fabs
Big Data Solution for analyzing huge amount of data
Big Data and Sensing Technology

3DIC (TSV and all other 3D)

3DIC covers manufacturing, design, inspection and characteristics evaluation technologies related to all 3D integration topics, including 3D process technology, materials, equipment, design methodology and applications. Here, not only 3D using TSV, but also by packaging technology such as chip stacked ,PoP, epi-film bonding and 3D transistors by front end process will be discussed.

Topics may includesF

3D Integration Technology.

Through Silicon Via (TSV), wafer thinning, wafer alignment, wafer bonding, wafer dicing, heterogeneous 3D integration , capacitive coupling, inductive coupling, multilevel epitaxial growth, CoC (Chip on Chip) , CoW (Chip on Wafer) , Epi-film bonding, 3D transistors and etc.

3D Applications.

Si interposer, Imaging, Memory, Processors, Communications, Network, Wireless, Biomedical, MEMS/NEMS and etc.

3D Design and Test Methodology.

3D CAD, 3D synthesis, 3D design flows, Signal and power integrity analysis, Design in 3D, 3D thermal design and analysis, Design for testing, 3D mechanical stress, Reliability design and analysis, Inspection in mass production, Failure analysis and etc.

Power Devices

Power devices covers manufacturing technologies related to rectifier diode, power MOFET, IGBT(Insulated Gate Bipolar Transistor), thyristor, GTO(Gate Turn-Off thyristor) and triac.

Topics may includesF

Performance Improvement Technology.

Large current capacity, Response time, Miniaturization, Low power dissipation, Energy saving, Lower calorific value, Low gate control electric power, High ruggedness, etc.

Break-through Technology.

IEGT(Injection Enhanced Gate Transistor), Super junction, Floating Island MOS, Vacuum micro emitter(VME), Wide band-gap semiconductor, etc.

SiC Manufacturing Technology.

Large diameter SiC single-crystal, Monocrystalline SiC bulk crystal growth, High quality epitaxial growth, Micropipe defect, Low]angle grain boundary, etc.

Printed Electronics

Printed Electronics is expected to create new emerging industry due to its high productivity, energy saving and resource saving, which can produce large area flexible devices. Three main technologies, one is semiconductor, 2nd is printing and the last is materials, will be merged each other to manufacture new final applications. Here, manufacturing technologies and applications will be discussed.

Topics may includesF

Technology.

Organic transistor, Flexible device, Ink , Printing (nano imprint, micro contact print, converting, screen printing, flexography, ink jet and etc.) Coating, Laminating, Reliability evaluation, Electric and mechanical evaluation and etc.

Applications.

Thin film display, Touch screen sensor, Solar cell, electric paper, flexible circuit board, organic EL light, organic memory device, RFID label, Antenna, medical sensor and etc.


Prohibit withdrawal due to the approval issue from the author's affiliation after submission.