CALL FOR PAPERS

The result of the abstract selection is available at ISSM secured website to the authors.
The authors who have not received email from ISSM office are encouraged to contact with issm_2010@semiconportal.com for the result.


Areas of Interest

Abstract will be accepted for each of following areas of interest. See reverse for further information.

Fab Management

Process Integration

Final Manufacturing

Highlight Theme

Papers on the topics of special interests will be rearranged and will be programmed as a special session for highlight themes. Papers on the following topics are especially welcome. See reverse information.

Author Information

The result of the abstract selection is available at ISSM secured website to the authors.
The authors who have not received email from ISSM office are encouraged to contact with issm_2010@semiconportal.com for the result.

Recommendation for IEEE/ TSM

Best papers for ISSM will have the chance to submit full papers for IEEE/TSM (Transactions on Semiconductor Manufacturing) which is published quarterly for worldwide distribution. About ten papers are annually selected and reported in ISSM/TSM special session for the next year.

 

Additional details for the Areas of Interest and Highlight theme

Details for the Areas of Interest

Fab Management

FD: Factory Design & Automated Material Handling

This area focuses on fab design and its key enablers to meet the flexibility, extendibility, and scalability needs of a cost-effective leading-edge fab.

MS: Manufacturing Strategy and Operation Management

This area focuses on strategy and concepts for more functional fab, and its operation management to meet rapidly changing complex business requirements.

MC: Manufacturing Control and Execution

This area includes manufacturing execution and decision support systems, factory scheduling, control of equipment/materials handling systems and queue time management.

ES: Environment, Safety and Health

This area focuses on suppression of energy and materials consumption, recycling and reuse of materials from the standpoint of the environmental management in a semiconductor factory.

Process Integration

PO: Process and Material Optimization

This area focuses on process and material optimization from the standpoint of miniaturization, cost reduction and environment. The breakthrough technology to improve productivity is contained.

YE: Yield Enhancement Methodology

This area focuses on probe yield enhancement and its stabilization technology including inspection, analysis and reduction of defects and particles. Reports for the advanced 45nm process and 300mm wafer processes would be especially attractive.

UC: Contamination Control and Ultraclean Technology

This area focuses new technology on damage-less particle removal, contamination control of wafer backside and bevel, surface cleaning for new materials and fine structure. Energy saving cleaning and molecular level contamination control in advanced wafer fab will be included.

PC: Process Control and Monitoring

This area focuses on tighter process control for advanced production as well as mature fab, to achieve higher productivity, higher uptime, quality enhancement by advanced equipment control/advanced process control(AEC/APC), FDC, e-diagnostics and new sensors. This area also covers accuracy enhancement and smart process control using virtual metrology for 32 to 65nm nanoscale device manufacturing and excursion control for stable equipment operation.

PE: Process and Metrology Equipment

This area focuses on finer pattern delineation/control. The application of equipment engineering system will be highlighted.

DM: Design for Manufacturing

This area focuses in the collaboration between manufacturing and design including RET, OPC and systematic defects.

Final Manufacturing

FM: Final Manufacturing

This area focuses on "3D technology","Flip chip & fine pitch bump","Si interposer" and "Lead-free connection".

Details for the highlight theme

NGF: Next Generation Factory

Overall issue for design and manufacturing
ROI, 450mm wafer, Strategy for equipment and metrology
Control System, Single wafer transportation
Standardization and Scheduling

Lean Manufacturing

Kaizen/ Kaikaku
Cycle time Reduction
5S
Standardization
Cost Reduction
Productivity Improvement

Green Manufacturing

GHG Emission Reduction/Control
Waste Reduction
Material Conservation
Energy Conservation
3R (Reduce, Reuse, and Recycle)

Advanced Lithography Challenge in Production

ArF immersion lithography
DP (Double patterning technology), SA(Self Align) DPT
EUV(Extreme Ultraviolet) lithography, NIL(Nanoimprint Lithography)
Optical Source/Mask Optimization
Advanced Reticles

Ultra High Productivity

Damage Free Process
Radical Reaction Base Manufacturing
Single Chamber Operation (Continuous Deposition and Continuous Etching)
Gas Circulation and Recuperative System

TSV: Through Silicon Via

Process design for TSV
Via hole producing technology
Via hole filling technology
Applicable device and its thermal/electrical
characteristic evaluation

Application Specific Semiconductor Manufacturing

Mixed Signal / Radio Frequency / Power Device / Automotive Device/MEMS/ Sensor/ Solar/ LED etc.


Sessions for higlight themes depends on the contents and numbers of accepted papers. Above mentiond are expected examples and themes are subject to change.