EmergingTech from Japan
Semiconductor Portal

Gigaphoton more than doubles EUV light source output to 92W

|

Gigaphoton Inc., a wholly owned subsidiary of Komatsu Ltd., has developed a prototype laser-produced plasma (LPP) light source unit that achieved 92W extreme ultraviolet (EUV) light source output at 4.2% conversion efficiency—more than doubling the company's previous result of 43W.

Gigaphoton's EUV light source

Much better results for output and conversion efficiency have been reported, but only in the lab. What makes this prototype special is that its performance is approaching a level at which implementation in a practical EUV system becomes possible, according to a Gigaphoton spokesperson.

Cymer, a leading LLP light source manufacturer acquired by ASML in May 2013, developed a 70W-output LLP light source. An ASML EUV system employing the source reportedly achieved throughput of 52 wafers per hour. Gigaphoton estimates the 92W output power is equivalent to throughput of about 60 wafers per hour under the same conditions.

The greatest obstacle for EUV is low throughput due to low output power of light sources. Low output power means exposure of one wafer takes a long time, with the result that throughput is insufficient for high-volume manufacturing (HVM). Hence, the moves in the semiconductor industry to seek solutions for further scaling through ArF multi-patterning or by combining multi-patterning and direct self-assembly. Despite the increase in the number of processes, some manufacturers think ArF immersion lithography is advantageous and practical compared with solutions based on EUV systems.

Gigaphoton says the big jump in output is attributable to optimization of the two lasers used to emit the 13.5nm EUV light. At SemiconWest, which will be held in San Francisco from July 8 to 10, the company intends to disclose the technology that achieved the 92W output. Encouraged by the interest expressed by some customers despite the as yet modest throughput, Gigaphoton aims to raise output to 150W by the end of this year, and eventually to 250W, the minimum output considered necessary for HVM.

To emit EUV light, two lasers are deployed as LLP light sources with a time interval. First, a solid-state YAG laser emits infrared laser, working as prepulse, to break up tin (Sn) droplets, whose diameter is less than 20 microns, into minute fragments. Then, once the Sn fragments spread to an adequate diameter, the main-pusle CO2 laser beam is radiated, transforming most of them into EUV-light-emitting Sn ions in plasma.

At present, only ASML is developing an EUV system, for which Cymer, now a member of the ASML group, supplies the light source. Gigaphoton, however, believes the industry will require multiple sources. Hitoshi Tomaru, president of Gigaphoton, is quoted in a press release: "The 92W output achieved with our EUV light source is a fruit of Gigaphoton's commitment to pursuing unique R&D targeting higher-output, stable, lower-cost-of-operation LPP light sources." He believes the company's contribution will accelerate development of EUV scanners for HVM.

Gigaphoton's EUV light source development is subsidized by the New Energy and Industrial Technology Development Organization (NEDO). This 92W light source is the latest result of the company's ongoing R&D program.

Correction: In an earlier version of this story, Gigaphoton was incorrectly described. In the current version, the error has been fixed. We apologize for the lapse.

Press release:
GIGAPHOTON ACHIEVES 92 W EUV LIGHT SOURCE OUTPUT AT 4.2% CE

Related articles:
Lithography: ArF immersion may go beyond 10nm, even to 7nm (Apr. 28, 2014)
ArF technology will go beyond 10nm half-pitch: Nikon (Mar. 27, 2014)
Japan's EUVL R&D project launched with Intel, Samsung, TSMC and Hynix participating (June 27, 2011)
Komatsu and Ushio to concentrate on LPP and DPP EUV light sources, respectively (Apr. 25, 2011)

Monthly Archives

SSL Global Sign
Copyright(C)2001-2024 Semiconductor Portal Inc., All Rights Reserved.